Silicon Oxides Or Nitrides Patents (Class 427/579)
  • Patent number: 6764965
    Abstract: A method for improving the coating capability of low dielectric layer is disclosed. The method includes steps of an etching stop layer is deposited a semiconductor substrate, an adhesion promoter layer is spun-on the etching stop layer. The pre-wetting process being performed on the adhesion promoter layer to enhance the coating capability of the low-k dielectric layer, and thus improve the coating quality through the pre-wetting process of baked adhesion promoter layer before the low-k dielectric layer is applied.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: July 20, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Tsung-Tang Hsieh, Cheng-Yuan Tsai, Chih-An Huang
  • Publication number: 20040137169
    Abstract: A high-density plasma process is proposed for depositing a layer of Silicon Nitride on a substrate in a plasma reactor. The process includes the steps of: providing a gas including precursor components of the Silicon Nitride, generating a plasma applying a radio-frequency power to the gas, and the plasma reacting with the substrate to deposit the layer of Silicon Nitride. The power applied to the gas is in the range from 2.5 kW to 4 kW.
    Type: Application
    Filed: October 14, 2003
    Publication date: July 15, 2004
    Applicant: STMicroelectronics S.r.I.
    Inventor: Enzo Carollo
  • Publication number: 20040131976
    Abstract: A gate insulating (GI) layer, an amorphous silicon layer, and a metal layer are sequentially formed on a gate formed on a substrate of a thin film transistor liquid crystal display (TFT LCD). A first photoresist layer and a second photoresist layer with an opening are then sequentially formed on the metal layer. Two etching processes are performed to form a source and a drain of the TFT LCD thereafter. Finally, a passivation layer is formed to cover the substrate.
    Type: Application
    Filed: March 20, 2003
    Publication date: July 8, 2004
    Inventor: Chu-Wei Hsu
  • Patent number: 6749893
    Abstract: A method for making an integrated photonic device involves depositing buffer, core and cladding layers on the front side of a wafer. A thick tensile stress layer is deposited on the back side of the wafer just prior to performing a high temperature thermal treatment above 600° C. on the cladding layer to prevent the cracking of the layers as a result of the thermal treatment.
    Type: Grant
    Filed: January 31, 2002
    Date of Patent: June 15, 2004
    Assignee: DALSA Semiconductor Inc.
    Inventors: Luc Ouellet, Jonathan Lachance, Sylvie Archambault
  • Patent number: 6746727
    Abstract: A method is described involving depositing a dielectric layer. The surface of the dielectric layer is modified to prevent outdiffusion from the dielectric layer. A metal layer is deposited above the modified surface of the dielectric layer.
    Type: Grant
    Filed: August 24, 1998
    Date of Patent: June 8, 2004
    Assignee: Intel Corporation
    Inventors: Jick Yu, Chi Hing Choi
  • Patent number: 6746726
    Abstract: In a film-forming process of depositing gaseous molecules each composed of plural atoms onto a substrate or reacting the gaseous molecules with the constituting elements of the substrate to form a compound film onto the substrate, the plasma, having excited inert gaseous molecules with higher metastable excited states than the ones required to dissociate the gaseous molecules into their elements and the gaseous molecules, is generated and then, the gaseous molecules are dissociated into their elements before being deposited into the substrate. As a result, dissociation of the gaseous molecules onto the substrate is not required, leading to lowering the temperature of the film-forming process.
    Type: Grant
    Filed: November 16, 2000
    Date of Patent: June 8, 2004
    Assignee: Tokyo University of Agriculture & Technology
    Inventor: Tomo Ueno
  • Publication number: 20040091717
    Abstract: Nitrogen-free reactant gas containing silicon, oxygen, and fluorine atoms is flowed to a nitrogen-free CVD reaction chamber. Preferably, SiH4 gas, SiF4 gas, and CO2 are flowed to the reaction chamber. Radio-frequency power is applied to form a plasma. Preferably, the reaction chamber is part of a dual-frequency PECVD or HPD-CVD apparatus. Reactive components formed in the plasma react to form low-dielectric-constant nitrogen-free fluorine-doped silicate glass (FSG) on a substrate surface.
    Type: Application
    Filed: November 13, 2002
    Publication date: May 13, 2004
    Applicant: Novellus Systems, Inc.
    Inventors: Ming Li, Yang Zhuang, Jason L. Tian, Zhiyuan Fang
  • Publication number: 20040091637
    Abstract: A process for creating plasma polymerized deposition on a substrate by a corona discharge is described. The corona discharge is created between an electrode and a counterelectrode supporting a substrate. A mixture of a balance gas and a working gas is flowed rapidly through the electrode, plasma polymerized by corona discharge, and deposited onto the substrate as an optically clear coating or to create surface modification. The process, which is preferably carried out at or near atmospheric pressure, can be designed to create an optically clear powder-free or virtually powder free deposit of polymerized plasma that provides a substrate with properties such as surface modification, chemical resistance, and barrier to gases.
    Type: Application
    Filed: February 3, 2003
    Publication date: May 13, 2004
    Inventors: Aaron M. Gabelnick, Richard T. Fox, Ing-Feng Hu, Dmitry P. Dinega
  • Patent number: 6730619
    Abstract: A method of manufacturing an insulating layer that ensures reproducibility across like manufacturing apparatus. The insulating layer is formed on the substrate by (a) flowing an oxidizing gas at an oxidizing gas flow rate, (b) flowing a first carrier gas at a first carrier gas flow rate while carrying a first impurity including boron flowing at a first impurity flow rate, (c) flowing a second carrier gas at a second carrier gas flow rate while carrying a second impurity including phosphorus flowing at a second impurity flow rate, and (d) flowing a silicon source material at a silicon source flow rate. The second carrier gas flow rate is greater than the first carrier gas flow rate.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: May 4, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Chan Jung, Jin-Ho Jeon, Jeon-Sig Lim, Jong-Seung Yi
  • Patent number: 6716476
    Abstract: A method is disclosed for depositing an optical quality silica film on a wafer by PECVD. The flows rates for a raw material gas, an oxidation gas, a carrier gas, and a dopant gas are first set at predetermined levels. The total deposition pressure is set at a predetermined level. The deposited film is then subjected to a post deposition heat treatment at a temperature selected to optimize the mechanical properties without affecting the optical properties. Finally, the observed FTIR characteristics of the deposited film are monitored to produce a film having the desired optical and mechanical properties. This technique permits the production of high quality optical films with reduced stress.
    Type: Grant
    Filed: September 21, 2001
    Date of Patent: April 6, 2004
    Assignee: Dalsa Semiconductor Inc.
    Inventors: Luc Ouellet, Jonathan Lachance
  • Publication number: 20040063004
    Abstract: The present invention relates to a substrate in particular of EUV microlithography, to the production of a substrate of this type and to the use of this substrate as a substrate for mirrors and/or masks or mask blanks in particular in EUV microlithography.
    Type: Application
    Filed: July 25, 2003
    Publication date: April 1, 2004
    Inventors: Jochen Alkemper, Lutz Aschke, Hrabanus Hack
  • Patent number: 6709721
    Abstract: The present invention provides a method of depositing a carbon doped silicon oxide film having a low dielectric constant (k). A process gas mixture containing at least a carrier gas, an oxidizer, a carbon gas source, or combinations thereof, is supplied adjacent an edge of a substrate though a purge gas inlet in a substrate support to facilitate deposition of low k carbon doped silicon oxide film having a greater concentration of silicon oxide around the edge of the substrate than an inner portion of the substrate.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: March 23, 2004
    Assignee: Applied Materials Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Chen-An Chen, Ellie Yieh, Shankar Venkataraman
  • Patent number: 6709715
    Abstract: A method and apparatus for depositing a low dielectric constant film by plasma assisted copolymerization of p-xylylene and a comonomer having carbon-carbon double bonds at a constant RF power level from about 0W to about 100W or a pulsed RF power level from about 20W to about 160W. The copolymer film has a dielectric constant from about 2.2 to about 2.5. Preferred comonomers include tetravinyltetramethylcyclotetrasiloxane, tetraallyloxysilane, and trivinylmethylsilane. The copolymer films include at least 1% by weight of the comonomer.
    Type: Grant
    Filed: June 17, 1999
    Date of Patent: March 23, 2004
    Assignee: Applied Materials Inc.
    Inventors: Chi-I Lang, Shin-Puu Jeng, Yeming Jim Ma, Fong Chang, Peter Wai-Man Lee, David W. Cheung
  • Publication number: 20040052975
    Abstract: An object of the present invention is to provide a barrier film having the extremely high barrier property and the better transparency, a method for manufacturing the same, and a laminated material, a container for wrapping and an image displaying medium using the barrier film. According to the present invention, there is provided a barrier film provided with a barrier layer on at least one surface of a substrate film, wherein the barrier layer is a silicon oxide film having an atomic ratio in a range of Si:O:C=100:140 to 170:20 to 40, peak position of infrared-ray absorption due to Si—O—Si stretching vibration between 1060 to 1090 cm−1, a film density in a range of 2.6 to 2.8 g/cm3, and a distance between grains of 30 nm or shorter.
    Type: Application
    Filed: April 17, 2003
    Publication date: March 18, 2004
    Inventors: Minoru Komada, Yoshihiro Kishimoto
  • Publication number: 20040037973
    Abstract: The invention encompasses a method for sequentially processing separate sets of wafers within a chamber. Each set is subjected to plasma-enhanced deposition of material within the chamber utilizing a plasma that is primarily inductively coupled. After the plasma-enhanced deposition, and while the set remains within the chamber, the plasma is changed to a primarily capacitively coupled plasma. The cycling of the plasma from primarily inductively coupled to primarily capacitively coupled can increase the ratio of processed wafers to plasma reaction chamber internal sidewall cleanings that can be obtained while maintaining low particle counts on the processed wafers.
    Type: Application
    Filed: June 11, 2003
    Publication date: February 26, 2004
    Inventors: Weimin Li, Neal R. Rueger
  • Publication number: 20040031440
    Abstract: A method and apparatus for improving a uniformity of a thermally grown silicon dioxide layer including thermally growing a layer over the exposed silicon portions including silicon dioxide according to a thermal oxide growing process; exposing the gas reactant feed lines to reactant gases during at least one of the step of thermally growing a layer and a cleaning process following the step of thermally growing a layer; and, purging the gas flow pathways to bypass the reactor chamber with at least one purge gas source including an inert gas to remove residual reactant gas contaminants to improve a subsequently thermally grown silicon dioxide layer.
    Type: Application
    Filed: August 13, 2002
    Publication date: February 19, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Pu-Fan Chen, Chao-Po Lu, Hsi-Shen Chuang, Yi-Jen Chen, Chin-Tsai Chen, Tsukada Kazunori
  • Publication number: 20040022960
    Abstract: A dielectric film is prepared by a process comprising a) forming a film on a substrate by depositing a reactant gas containing a precursor of the dielectric film using plasma; b) stopping the reactant gas supply and continuing the plasma treatment to form a dielectric layer from the precursor film; and repeating the steps of a) and b) until a desired thickness of the film is obtained.
    Type: Application
    Filed: April 25, 2003
    Publication date: February 5, 2004
    Inventors: Shi-Woo Rhee, Chung Yi
  • Patent number: 6685992
    Abstract: A method for partially treating a water-repellent glass sheet is disclosed which comprises irradiating part of a water-repellent glass film, formed on the water-repellent glass sheet, with a stream of plasma jets generated by a plasma jet irradiating gun. By such plasma jet irradiation, the film part can be removed easily even when the glass sheet has a curved surface.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: February 3, 2004
    Assignee: Nippon Sheet Glass Co., Ltd.
    Inventors: Hisashi Ogawa, Hiroaki Yamamoto, Toyoyuki Teranishi, Hiroaki Kobayashi, Shunji Kuramoto
  • Patent number: 6667248
    Abstract: A method is provided for forming a fluorinated silicate glass layer with HDP-CVD having a lower dielectric constant without compromising the mechanical properties of hardness and compressive stress. A gaseous mixture comprising a silicon-containing gas, an oxygen-containing gas, and a fluorine-containing gas is provided to a process chamber. The ratio of the flow rate of the fluorine-containing gas to the flow rate of the silicon-containing gas is greater than 0.65. A high-density plasma is generated from the gaseous mixture by applying a source RF power having a power density less than 12 W/cm2. A bias is applied to a substrate in the process chamber at a bias power density greater than 0.8 W/cm2 and less than 2.4 W/cm2. The fluorinated silicate glass layer is deposited onto the substrate using the high-density plasma.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: December 23, 2003
    Assignee: Applied Materials Inc.
    Inventors: Hichem M'Saad, Chad Peterson, Zhuang Li, Anchuan Wang, Farhad Moghadam
  • Patent number: 6656313
    Abstract: A method for improving the adhesion between polyimide layers and the structure formed by the method. A silicon oxide-containing layer is formed on the surface of a polyimide layer and a second layer of polyimide is formed on the silicon oxide-containing layer.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: December 2, 2003
    Assignee: International Business Machines Corporation
    Inventors: Frank D. Egitto, Luis J. Matienzo
  • Patent number: 6653245
    Abstract: A method for liquid phase deposition, including the steps of providing at least two raw materials from at least two supply devices of a saturation reaction system into a mixture trough and stirring until saturation occurs, filtering out unnecessary solid-state particles, and providing saturated and filtered liquid into an over-saturation reaction trough of a steady-flow over-saturation loop reaction system and stopping the saturated and filtered liquid when the over-saturation reaction trough is filled and the saturated and filtered liquid over-flows into a liquid level control trough to a pre-determined level. The method also includes the steps of providing a substrate in the over-saturation reaction trough, providing reactants from at least two supply devices into the over-saturation reaction trough, and depositing a thin film onto the substrate when the saturated liquid becomes over-saturated.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: November 25, 2003
    Assignee: Industrial Technology Research Institute
    Inventors: Muh-Wang Liang, Pang-Min Chiang, Chen Max, Jen-Rong Huang, Ching-Fa Yeh
  • Patent number: 6653247
    Abstract: A semiconductor device includes a low dielectric constant insulating film exhibiting an Si—H Fourier Transform Infrared (FTIR) doublet defined by a first and a second peak, wherein the first peak is located at a higher wave number than the second peak, and wherein the ratio of the first peak to the second peak is greater than unity. A method of producing such a semiconductor device includes depositing a dielectric layer over a substrate and treating the dielectric layer in a hydrogen containing plasma such that the dielectric layer exhibits an Si—H Fourier Transform Infrared (FTIR) doublet defined by a first and a second peak, wherein the first peak is located at a higher wave number than the second peak, and wherein the ratio of the first peak to the second peak is greater than unity.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: November 25, 2003
    Assignee: Trikon Holdings Limited
    Inventor: John MacNeil
  • Patent number: 6652924
    Abstract: The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
    Type: Grant
    Filed: May 24, 2001
    Date of Patent: November 25, 2003
    Assignee: Licensee for Microelectronics: ASM America, Inc.
    Inventor: Arthur Sherman
  • Publication number: 20030203654
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Application
    Filed: June 9, 2003
    Publication date: October 30, 2003
    Inventor: Ravi Iyer
  • Patent number: 6627559
    Abstract: The present invention provides a coating film, which is not likely to cause cracks on the coated surface and is also capable of improving the resistance of the coated surface, especially oxidation resistance, corrosion resistance, and gas permeation resistance, a member provided with the coating film, and a method for producing the coating film. In the coating film of the present invention, a dense layer containing silicon dioxide as a principal component, which is obtained by heat-treating a solution containing perhydropolysilazane and polyorganosilazane, a ratio of the content of perhydropolysilazane to the total amount of polysilazane including perhydropolysilazane and polyorganosilazane being from 0.65 to 0.95, in air or air containing water vapor, was formed on the surface of a stainless steel plate.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: September 30, 2003
    Assignee: Contamination Control Services
    Inventor: Toyohiko Shindo
  • Patent number: 6620333
    Abstract: A optic is produced for operation at the fundamental Nd:YAG laser wavelength of 1.06 micrometers through the tripled Nd:YAG laser wavelength of 355 nanometers by the method of reducing or eliminating the growth of laser damage sites in the optics by processing the optics to stop damage in the optics from growing to a predetermined critical size. A system is provided of mitigating the growth of laser-induced damage in optics by virtue of very localized removal of glass and absorbing material.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: September 16, 2003
    Assignee: The Regents of the University of California
    Inventors: Raymond M. Brusasco, Bernardino M. Penetrante, James A. Butler, Walter Grundler, George K. Governo
  • Patent number: 6616986
    Abstract: The present invention provides for sequential chemical vapor deposition by employing a reactor operated at low pressure, a pump to remove excess reactants, and a line to introduce gas into the reactor through a valve. A first reactant forms a monolayer on the part to be coated, while the second reactant passes through a radical generator which partially decomposes or activates the second reactant into a gaseous radical before it impinges on the monolayer. This second reactant does not necessarily form a monolayer but is available to react with the monolayer. A pump removes the excess second reactant and reaction products completing the process cycle. The process cycle can be repeated to grow the desired thickness of film.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: September 9, 2003
    Assignee: ASM America Inc.
    Inventor: Arthur Sherman
  • Patent number: 6610354
    Abstract: A plasma display panel including a low k dielectric layer. In one embodiment, the dielectric layer is comprises a fluorine-doped silicon oxide layer such as an SiOF layer. In another embodiment, the dielectric layer comprises a Black Diamond™ layer. In certain embodiments, a capping layer such as SiN or SiON is deposited over the dielectric layer.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: August 26, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Kam S. Law, Quanyuan Shang, Takako Takehara, Taekyung Won, William R. Harshbarger, Dan Maydan
  • Patent number: 6607790
    Abstract: The present invention relates to a plasma-enhanced chemical vapor deposition (PECVD) method of depositing a thin layer of a material, such as silicon dioxide, on the surface of a body, such as a semiconductor substrate. The method includes forming in a deposition chamber a plasma by means of two electrical power sources of different frequencies. A reaction gas is admitted into the deposition chamber and subjected to the plasma. The reaction gas is a mixture of tetraethylorthosilicate and a halogen gas, such as a gas of fluorine, chlorine or bromine. The reaction gas is reacted by the plasma to cause the material of the gas to deposit on the body which is within the chamber. This results in a deposited layer having a smoothly tapered surface even when the surface of the body possesses valleys and mesas, and thus prevents the formation of voids.
    Type: Grant
    Filed: July 7, 1997
    Date of Patent: August 19, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Katsuyuki Musaka
  • Patent number: 6602560
    Abstract: A method of removing residual fluorine present in a HDP-CVD chamber which includes a high pressure seasoning process, a dry-cleaning process, and a low-pressure deposition process.
    Type: Grant
    Filed: July 16, 2002
    Date of Patent: August 5, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yi-Lung Cheng, Wen-Kung Cheng, Ming-Hwa Yoo, Szu-An Wu, Ying-Long Wang, Pei-Fen Chou
  • Publication number: 20030140941
    Abstract: An improved CVD apparatus for depositing a uniform film is shown. The apparatus comprises a reaction chamber, a substrate holder and a plurality of light sources for photo CVD or a pair of electrodes for plasma CVD. The substrate holder is a cylindrical cart which is encircled by the light sources, and which is rotated around its axis by a driving device. With this configuration, the substrates mounted on the cart and the surroundings can be energized by light of plasma evenly throughout the surfaces to be coated.
    Type: Application
    Filed: January 10, 2003
    Publication date: July 31, 2003
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takashi Inushima, Shigenori Hayashi, Toru Takayama, Masakazu Odaka, Naoki Hirose
  • Patent number: 6599569
    Abstract: A coated plastic container provides for low permeability to gases and vapors. A method and system for coating plastic containers includes applying a thin inorganic oxide layer to the external surface of the containers with plasma-assisted vacuum vapor deposition. For example, the coating can include silica which is bonded to the external surface of the container. This coating is flexible and can be applied regardless of the container's internal pressure or lack thereof. The coating firmly adheres to the container and possess an enhanced gas barrier effect after pressurization even when the coating is scratched, fractured, flexed and/or stretched. Moreover, this gas barrier enhancement will be substantially unaffected by filling of the container. A method of recycling coated plastic containers and a method and system for packaging a beverage using the coated containers are also disclosed.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: July 29, 2003
    Assignee: The Coca-Cola Company
    Inventors: Heinz Humele, Herbert Pickel, George Plester, Horst Ehrich, Mark Rule
  • Patent number: 6598610
    Abstract: Thick dielectric films are deposited on a substrate by building up a plurality of layers by PECVD (Plasma Enhanced Chemical Vapor Deposition) in a reactor, each layer having a thickness less than the final thickness of the film to be deposited. The reactor is cleaned between the deposition of each layer. In this way, it is possible to form high quality, optical films.
    Type: Grant
    Filed: February 5, 2001
    Date of Patent: July 29, 2003
    Assignee: DALSA Semiconductor Inc.
    Inventors: Stephane Blain, Sylvie Harrison
  • Patent number: 6599584
    Abstract: Methods and systems are provided for making a coated plastic container, such as for packaged beverages, possessing a gas barrier and having enhanced resistance to loss in barrier due to handling abuses expansion of walls of the container. The system comprises a vacuum cell, a coating source in the vacuum cell for supplying a coating vapor to an external surface of a plastic container positioned within the vacuum cell, and gas feeds for supplying one or more process gases into an interior space of the vacuum cell. The coating source heats and evaporates an inorganic coating material, such as metal or silicon, to form a coating vapor, which is energized to form a plasma. The process gases include a carbon-containing gas, such as acetylene.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: July 29, 2003
    Assignee: The Coca-Cola Company
    Inventors: George Plester, Horst Ehrich
  • Patent number: 6589611
    Abstract: The invention encompasses a method for sequentially processing separate sets of wafers within a chamber. Each set is subjected to plasma-enhanced deposition of material within the chamber utilizing a plasma that is primarily inductively coupled. After the plasma-enhanced deposition, and while the set remains within the chamber, the plasma is changed to a primarily capacitively coupled plasma. The cycling of the plasma from primarily inductively coupled to primarily capacitively coupled can increase the ratio of processed wafers to plasma reaction chamber internal sidewall cleanings that can be obtained while maintaining low particle counts on the processed wafers.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: July 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Neal R. Rueger
  • Patent number: 6589610
    Abstract: An improved deposition chamber (2) includes a housing (4) defining a chamber (18) which houses a substrate support (14). A mixture of oxygen and SiF4 is delivered through a set of first nozzles (34) and silane is delivered through a set of second nozzles (34a) into the chamber around the periphery (40) of the substrate support. Silane (or a mixture of silane and SiF4) and oxygen are separately injected into the chamber generally centrally above the substrate from orifices (64, 76). The uniform dispersal of the gases coupled with the use of optimal flow rates for each gas results in uniformly low (under 3.4) dielectric constant across the film.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: July 8, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Shijian Li, Yaxin Wang, Fred C. Redeker, Tetsuya Ishikawa, Alan W. Collins
  • Patent number: 6579573
    Abstract: This invention relates to methods whereby nanoparticle liquid suspensions are used in conventional thermal spray deposition for the fabrication of high-quality nanostructured coatings. Ultrasound is used for disintegration of the as-synthesized particle agglomerates, nanoparticle dispersion in liquid media, and liquid precursor atomization.
    Type: Grant
    Filed: May 20, 1999
    Date of Patent: June 17, 2003
    Assignees: The University of Connecticut, Rutgers The State University of New Jersey
    Inventors: Peter R. Strutt, Bernard H. Kear, Ross F. Boland
  • Patent number: 6576570
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 comprises placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: June 10, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 6572975
    Abstract: An optically coated article comprising a polymeric substrate, such as aromatic polycarbonate, and a plurality of optical coating layers comprising alternate layers of silicon dioxide and amorphous hydrogenated silicon or variations thereof, produced by plasma enhanced chemical vapor deposition. The article is characterized by essentially constant optical characteristics over a wide temperature range.
    Type: Grant
    Filed: August 24, 2001
    Date of Patent: June 3, 2003
    Assignee: General Electric Company
    Inventor: George Theodore Dalakos
  • Patent number: 6559026
    Abstract: A trench-fill material is deposited to fill a trench in a substrate disposed in a process chamber. An inert gas is introduced into the process chamber and a plasma is formed to heat the substrate to a preset temperature, which is typically the temperature at which deposition of the trench-fill material is to take place. The plasma is terminated upon reaching the preset temperature for the substrate. A process gas is then flowed into the process chamber without plasma excitation until the process gas flow and distribution achieve a generally steady state in the process chamber. A plasma is then formed to deposit the trench-fill material on the surface of the substrate and fill the trench. By establishing generally steady state conditions in the chamber prior to deposition, transient effects are reduced and more uniform deposition of the trench-fill material is obtained.
    Type: Grant
    Filed: May 25, 2000
    Date of Patent: May 6, 2003
    Assignee: Applied Materials, Inc
    Inventors: Kent Rossman, Zhuang Li, Young Lee
  • Publication number: 20030077400
    Abstract: A method, composition and system for coating an external surface of containers and in particular, plastic containers, provides for low permeability to gases and vapors. The coating applied to the external surface of the containers is very thin and is comprised of one or several inorganic substances or layers of substances. For example, the coating can include silica which is bonded to the external surface of the container. This coating will be flexible and can be applied regardless of the container's internal pressure or lack thereof. The coating will firmly adhere to the container and possess an enhanced gas barrier effect after pressurization even when the coating is scratched, fractured, flexed and/or stretched. Moreover, this gas barrier enhancement will be substantially unaffected by filling of the container.
    Type: Application
    Filed: December 12, 2000
    Publication date: April 24, 2003
    Inventors: George Plester, Horst Ehrich, Mark Rule
  • Patent number: 6551665
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 is disclosed. The entire method, which can be performed in a single cluster tool and even in a single chamber, begins by placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber. A “clean” silicate glass base layer that is substantially free of carbon particle impurities on an upper surface is then formed on the wafer surface in one of two ways. The first employs plasma-enhanced chemical vapor deposition using TEOS and diatomic oxygen gases as precursors to first deposit a “dirty” silicate glass base layer having carbon particle impurities imbedded on an upper surface.
    Type: Grant
    Filed: April 17, 1997
    Date of Patent: April 22, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 6548123
    Abstract: A method, composition and system for coating an external surface of containers and in particular, plastic containers, provides for low permeability to gases and vapors. The coating applied to the external surface of the containers is very thin and is comprised of one or several inorganic substances or layers of substances. For example, the coating can include silica which is bonded to the external surface of the container. This coating will be flexible and can be applied regardless of the container's internal pressure or lack thereof. The coating will firmly adhere to the container and possess an enhanced gas barrier effect after pressurization even when the coating is scratched, fractured, flexed and/or stretched. Moreover, this gas barrier enhancement will be substantially unaffected by filling of the container.
    Type: Grant
    Filed: December 12, 2000
    Date of Patent: April 15, 2003
    Assignee: The Coca-Cola Company
    Inventors: George Plester, Horst Ehrich, Mark Rule
  • Patent number: 6544430
    Abstract: Improved methods and articles used to fabricate flexible circuit structures are disclosed. The methods include depositing a release layer or a dielectric film on a substrate, and then forming a conductive laminate on the release layer or the dielectric film. The conductive laminate may be easily separated by the substrate to eventually form a flexible circuit structure. Plasma may be used to treat a surface of the release layer or the dielectric film to produce a plasma-treated surface to lower the peel strength of any film or layer bound to the plasma-treated surface.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: April 8, 2003
    Assignee: Fujitsu Limited
    Inventors: Mark Thomas McCormack, James Roman, Lei Zhang, Solomon I. Beilin
  • Publication number: 20030064323
    Abstract: Disclosed is a method of forming a pattern comprising coating a solution containing a compound having a silicon-nitrogen linkage in the main chain thereof over a surface of a working film to form a mask, replacing the nitrogen in the mask by oxygen, forming a resist film on a surface of the mask, forming a resist pattern by subjecting the resist film to a patterning exposure and to a developing treatment, transcribing the resist pattern to the mask to form a masking pattern, and transcribing the masking pattern to the working film to form a working film pattern.
    Type: Application
    Filed: April 1, 2002
    Publication date: April 3, 2003
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yasuhiko Sato, Yasunobu Onishi
  • Patent number: 6541400
    Abstract: An improved process for depositing a robust fluorosilicate glass film on a substrate in a chamber includes maintaining a total pressure in the chamber of less than about 1.7 torr, introducing vapor phase chemicals such as N2, SiF4, SiH4, and N2O into the chamber, and reacting the vapor-phase chemicals with sufficiently supplied energy to deposit a thin film layer of the fluorosilicate glass on the substrate. Advantageously, the deposited fluorosilicate glass films are chemically, mechanically, and thermally stable without additional processing. Also advantageously, the films are deposited uniformly at rates greater than about 5000 Angstroms per minute with dielectric constants of about 3.4 to about 3.9.
    Type: Grant
    Filed: February 9, 2000
    Date of Patent: April 1, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Jason L. Tian, Harald Te Nijenhuis
  • Patent number: 6537707
    Abstract: A method of fabricating a high energy radiation mask, such as a laser ablation mask for manufacturing inkjet printheads, includes a multi-stage evacuation process and/or a step of reducing the deposition rate of silicon dioxide during formation of a dielectric stack. When the multi-stage evacuation procedure is combined with the slower deposition rate of silicon dioxide, the resulting mask has a surprisingly low defect density. In the first embodiment, the evacuation procedure is initiated using a low-rate first evacuation connection. The relatively slow purging of a vacuum chamber in which the dielectric stack is subsequently formed controls turbulence and environmental changes that can generate contamination and water along the surface of the substrate on which the dielectric stack is formed. When a pressure setpoint is reached, a second roughing connection is activated to increase the speed of the procedure. The second connection has a higher maximum rate than the first connection.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: March 25, 2003
    Assignee: Agilent Technologies, Inc.
    Inventor: Lawrence Hakchu Lee
  • Patent number: 6537622
    Abstract: This method of prevention of particle pollution in a pre-clean chamber includes an oxygen gas supplying step for injecting oxygen gas into the pre-clean chamber; and a plasma generating step for ionizing the oxygen gas into plasma so as to interact with silicon-rich oxide to form a silicon oxide layer in the pre-clean chamber. The method according to the invention could prevent particle pollution due to peeling-off of silicon-rich oxide in a pre-clean chamber so as to prolong the life of a bell-jar therein.
    Type: Grant
    Filed: May 4, 2001
    Date of Patent: March 25, 2003
    Assignee: Silicon Integrated Systems Corp.
    Inventors: Chia-ming Kuo, Chao-yuan Huang
  • Patent number: 6537623
    Abstract: An improved high temperature chemical treatment of deposited silica films wherein they are subjected to a reactive ambient comprising hydrogen and oxygen atoms. This method results in better elimination of residual undesirable oscillators so as to provide improved optical quality silica waveguides with reduced optical absorption.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: March 25, 2003
    Assignee: Dalsa Semiconductor Inc.
    Inventors: Luc Ouellet, Manuel Grondin
  • Patent number: 6531412
    Abstract: A method is described for forming a low-k dielectric film, in particular, a pre-metal dielectric (PMD) on a semiconductor wafer which has good gap-filling characteristics. The method uses a thermal sub-atmospheric CVD process that includes a carbon-containing organometallic precusor such as TMCTS or OMCTS, an ozone-containing gas, and a source of dopants for gettering alkali elements and for lowering the reflow temperature of the dielectric while attaining the desired low-k and gap-filling properties of the dielectric film. Phosphorous is a preferred dopant for gettering alkali elements such as sodium. Additional dopants for lowering the reflow temperature include, but are not limited to boron, germanium, arsenic, fluorine or combinations thereof.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: March 11, 2003
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Richard A. Conti, Daniel C. Edelstein, Gill Yong Lee