Etching Of Substrate And Material Deposition Patents (Class 430/314)
  • Patent number: 11957020
    Abstract: A light-emitting device is described that includes a plurality of partially drivable light sources, and a color conversion component configured to convert at least part of incident light from at least part of the light sources and emit outgoing light falling in a different wavelength region from the incident light, where the color conversion component includes a pyrromethene derivative.
    Type: Grant
    Filed: January 18, 2019
    Date of Patent: April 9, 2024
    Assignee: Toray Industries, Inc.
    Inventors: Yasunori Ichihashi, Daisaku Tanaka, Masahito Nishiyama, Keizo Udagawa, Yuka Tatematsu
  • Patent number: 11943928
    Abstract: Embodiments of a channel hole plug structure of 3D memory devices and fabricating methods thereof are disclosed. The memory device includes an alternating layer stack disposed on a substrate, an insulating layer disposed on the alternating dielectric stack, a channel hole extending vertically through the alternating dielectric stack and the insulating layer, a channel structure including a channel layer in the channel hole, and a channel hole plug in the insulating layer and above the channel structure. The channel hole plug is electrically connected with the channel layer. A projection of the channel hole plug in a lateral plane covers a projection of the channel hole in the lateral plane.
    Type: Grant
    Filed: April 19, 2022
    Date of Patent: March 26, 2024
    Assignee: Yangtze Memory Technologies Co., Ltd.
    Inventors: Li Hong Xiao, Zhenyu Lu, Qian Tao, Yushi Hu, Jun Chen, LongDong Liu, Meng Wang
  • Patent number: 11600727
    Abstract: A method for forming a semiconductor device is provided. The method includes forming an isolation structure in a semiconductor substrate. The method includes forming a gate over the semiconductor substrate. The method includes forming a support film over the isolation structure. The support film is a continuous film which continuously covers the isolation structure and the gate over the isolation structure, the support film conformally covers a first portion of a top surface and a second portion of a first sidewall of the gate, the top surface faces away from the semiconductor substrate, the support film and a topmost surface of the active region do not overlap with each other, and the topmost surface faces the gate. The method includes after forming the support film, forming lightly doped regions in the semiconductor substrate and at two opposite sides of the gate.
    Type: Grant
    Filed: June 4, 2020
    Date of Patent: March 7, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jung-Chi Jeng, I-Chih Chen, Wen-Chang Kuo, Ying-Hao Chen, Ru-Shang Hsiao, Chih-Mu Huang
  • Patent number: 11600552
    Abstract: A semiconductor device is provided. The semiconductor device includes a first insulating interlayer disposed on a first surface of a substrate; a pad pattern disposed on a lower surface of the first insulating interlayer, the pad pattern including a first copper pattern; and a through silicon via passing through the substrate and the first insulating interlayer, and contacting the first copper pattern of the pad pattern. The through silicon via includes a first portion passing through the substrate and the first insulating interlayer, and a second portion under the first portion and extending to a portion of the first copper pattern in the pad pattern. A boundary of the through silicon via has a bent portion between the first portion and the second portion.
    Type: Grant
    Filed: June 10, 2021
    Date of Patent: March 7, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ju-Bin Seo, Su-Jeong Park, Tae-Seong Kim, Kwang-Jin Moon, Dong-Chan Lim, Ju-Il Choi
  • Patent number: 11594427
    Abstract: A substrate processing apparatus performs increasing a pressure within a processing vessel up to a processing pressure higher than a threshold pressure of a processing fluid by supplying the processing fluid into the processing vessel in which a substrate having thereon a liquid is accommodated; and supplying the processing fluid into the processing vessel and draining the processing fluid while maintaining the pressure within the processing vessel at a level allowing the processing fluid to be maintained in a supercritical state. The increasing of the pressure includes: increasing the pressure to a first pressure; and increasing the pressure to the processing pressure from the first pressure. A temperature of the substrate is controlled to a first temperature in the increasing of the pressure to the first pressure, and is controlled to a second temperature higher than the first temperature in the increasing of the pressure to the processing pressure.
    Type: Grant
    Filed: November 24, 2020
    Date of Patent: February 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toru Ihara, Gentaro Goshi, Masami Yamashita
  • Patent number: 11482448
    Abstract: Semiconductor devices and methods of forming the same are provided. The methods may include forming a stacked structure that may include a stacking area and a stepped area and may include first layers and second layers alternately stacked. The second layers may have a stepped shape in the stepped area, and the stepped area may include at least one flat area and at least one inclined stepped area. The methods may also include forming a capping insulating layer covering the stacked structure. The capping insulating layer may include a first capping region having a first upper surface and a second capping region having a second upper surface at a lower level than the first upper surface. The methods may further include patterning the capping insulating layer to form protrusions at least one of which overlaps the stepped area and then planarizing the capping insulating layer.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: October 25, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hansol Seok, Chungki Min, Changsun Hwang, Gihwan Kim, Jongheun Lim
  • Patent number: 11239086
    Abstract: Embodiments described herein relate to substrate processing methods. More specifically, embodiments of the disclosure provide for an MRAM back end of the line integration process which utilizes a zero mark for improved patterning alignment. In one embodiment, the method includes fabricating a substrate having at least a bottom contact and a via extending from the bottom contact in a first region and etching a zero mark in the substrate in a second region apart from the first region. The method also includes depositing a touch layer over the substrate in the first region and the second region, depositing a memory stack over the touch layer in the first region and the second region, and depositing a hardmask over the memory stack layer in the first region and the second region.
    Type: Grant
    Filed: April 26, 2019
    Date of Patent: February 1, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Hsin-wei Tseng, Mahendra Pakala, Lin Xue, Jaesoo Ahn, Sajjad Amin Hassan
  • Patent number: 11160166
    Abstract: A printed circuit board with high-capacity and high-current copper circuit includes a conductive trace, a first protecting layer, and a second protecting layer on opposite sides of the conductive trace. The conductive trace includes a basic conductive trace pattern, a first conductive trace pattern, and a second conductive trace pattern. The first and second conductive trace patterns are directly formed on opposite surfaces of the basic copper conductive trace pattern. A width of trace of the first conductive trace pattern is the same as a line width of the second conductive trace pattern.
    Type: Grant
    Filed: January 13, 2018
    Date of Patent: October 26, 2021
    Assignees: Avary Holding (Shenzhen) Co., Limited., HongQiSheng Precision Electronics (QinHuangDao) Co., Ltd.
    Inventors: Fang-Bo Xu, Peng Wu, Jian-Quan Shen, Ke-Jian Wu
  • Patent number: 11158834
    Abstract: A display device includes a first display part, a second display part, and a third display part provided between the first display part and the second display part. One of the first display part and the second display part is transparent, and the other of the first display part and the second display part is opaque. The third display part comprises a transparent part and an opaque part, which are mixed therein, and an area ratio of the transparent part and the opaque part in the third display part is gradually changed.
    Type: Grant
    Filed: November 18, 2019
    Date of Patent: October 26, 2021
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Seung Hwa Ha
  • Patent number: 11114450
    Abstract: A one-time programmable (OTP) memory device includes a plurality of unit cells which are respectively located at cross points of word lines and bit lines. Each unit cell includes a selection transistor and a storage transistor coupled in series. The selection transistor includes a drain region and a common junction region separated by a first channel region and includes a selection gate structure disposed on the first channel region. The storage transistor includes a source region and the common junction region separated by a second channel region and includes a floating gate structure disposed on the second channel region. A length of an overlapping region between the source region and the floating gate structure in a channel length direction of the storage transistor is less than a length of an overlapping region between the common junction region and the floating gate structure in the channel length direction.
    Type: Grant
    Filed: January 24, 2019
    Date of Patent: September 7, 2021
    Assignee: SK hynix system ic Inc.
    Inventor: Kwang Il Choi
  • Patent number: 11104573
    Abstract: A semiconductor arrangement includes a substrate region and a first semiconductor column projecting from the substrate region. The semiconductor arrangement includes a second semiconductor column projecting from the substrate region and adjacent the first semiconductor column. The second semiconductor column is separated a first distance from the first semiconductor column along a first axis. The semiconductor arrangement includes a third semiconductor column projecting from the substrate region and adjacent the first semiconductor column. The third semiconductor column is separated a second distance from the first semiconductor column along a second axis that is substantially perpendicular to the first axis. The second distance is different than the first distance.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: August 31, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Jean-Pierre Colinge, Ta-Pen Guo, Chih-Hao Wang, Carlos H. Diaz
  • Patent number: 11043445
    Abstract: A semiconductor device is provided. The semiconductor device includes a first insulating interlayer disposed on a first surface of a substrate; a pad pattern disposed on a lower surface of the first insulating interlayer, the pad pattern including a first copper pattern; and a through silicon via passing through the substrate and the first insulating interlayer, and contacting the first copper pattern of the pad pattern. The through silicon via includes a first portion passing through the substrate and the first insulating interlayer, and a second portion under the first portion and extending to a portion of the first copper pattern in the pad pattern. A boundary of the through silicon via has a bent portion between the first portion and the second portion.
    Type: Grant
    Filed: April 17, 2019
    Date of Patent: June 22, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ju-Bin Seo, Su-Jeong Park, Tae-Seong Kim, Kwang-Jin Moon, Dong-Chan Lim, Ju-Il Choi
  • Patent number: 10923353
    Abstract: A method for forming a fin field effect transistor (FinFET) device structure is provided. The FinFET device structure includes a substrate and a first fin structure and a second fin structure extending above the substrate. The FinFET device structure also includes a first transistor formed on the first fin structure and a second transistor formed on the second fin structure. The FinFET device structure further includes an inter-layer dielectric (ILD) structure formed in an end-to-end gap between the first transistor and the second transistor, and the end-to-end gap has a width in a range from about 20 nm to about 40 nm.
    Type: Grant
    Filed: December 13, 2019
    Date of Patent: February 16, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chang-Yin Chen, Tung-Wen Cheng, Che-Cheng Chang, Chun-Lung Ni, Jr-Jung Lin, Chih-Han Lin
  • Patent number: 10854452
    Abstract: A method of manufacturing a semiconductor device includes forming first sacrificial cores on a first region of a lower structure and second sacrificial cores on a second region of the lower structure, forming spacers on side walls of the first sacrificial cores and side walls of the second sacrificial cores, forming a protective pattern covering the second sacrificial cores on the second region of the lower structure, removing the first sacrificial cores from the first region, and etching the lower structure using the spacers on the first region, and the second sacrificial cores and the spacers on the second region. By using only spacers as an etching mask in the first region and the sacrificial cores with the spacers as an etching mask in the second region, patterns with different widths are formed simultaneously on the first and second regions.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: December 1, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyun Jo Kim, Se Wan Park
  • Patent number: 10755972
    Abstract: A semiconductor device and method of manufacture comprise placing an etch stop layer of a material such as aluminum oxide over a conductive element, placing a dielectric layer over the etch stop layer, and placing a hardmask of a material such as titanium nitride over the dielectric layer. Openings are formed to the etch stop layer, the hardmask material is selectively removed, and the openings are then the material of the etch stop layer is then selectively removed to extend the openings through the etch stop layer.
    Type: Grant
    Filed: March 20, 2017
    Date of Patent: August 25, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Nai-Chia Chen, Chun-Li Chou, Yen-Chiu Kuo, Yu-Li Cheng, Chun-Hung Chao
  • Patent number: 10741444
    Abstract: In a method according to an exemplary embodiment, a substrate is prepared in a chamber. A patterned resist mask has been formed on a first region of the substrate. A surface of the substrate in a second region is exposed. A film is formed on the substrate in the chamber by sputtering. The film is formed on the substrate in a manner that particles emitted obliquely downward from a target are caused to be incident onto the substrate.
    Type: Grant
    Filed: May 8, 2019
    Date of Patent: August 11, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Hidetami Yaegashi
  • Patent number: 10679999
    Abstract: A capacitor-coupled N-type transistor-based one-time programmable (OTP) device is disclosed. The OTP includes a transistor and a coupling capacitor both formed in a p-well and isolated from each other by field oxide or shallow trench isolation (STI). The transistor is constructed of a gate, a source region and a drain region composed of heavily-doped N-region. The coupling capacitor has a top plat formed of polysilicon on substrate surface, and a bottom plate constructed of an NLDD region and a heavily-doped N-region in the NLDD region. In order to achieve maximum capacitance utilization, the top plate of the coupling capacitor has a width not greater than the NLDD implantation region or twice a lateral junction depth of the heavily-doped n-region. The gate of the transistor may not be wider than the top plate of the coupling capacitor such that capacitance coupling ratio of the coupling capacitor to the transistor is optimized.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: June 9, 2020
    Assignee: Shanghai Huahong Grace Semiconductor Manufacturing Corporation
    Inventors: Yu Chen, Yuan Yuan, Hualun Chen
  • Patent number: 10615046
    Abstract: A method of forming semiconductor devices includes providing a substrate with a patterned material layer formed thereon, forming a material layer on the patterned material layer, wherein the material layer has a first region with a lower top surface and a second region with a higher top surface, forming a flowable material layer on the material layer, wherein the flowable material layer exposes at least a portion of the second region of the material layer, removing the exposed portion of the second region of the material layer with the flowable material layer as a stop layer, removing the flowable material layer, and planarizing the material layer.
    Type: Grant
    Filed: October 31, 2018
    Date of Patent: April 7, 2020
    Assignee: WINBOND ELECTRONICS CORP.
    Inventors: Kun-Che Wu, Kao-Tsair Tsai
  • Patent number: 10548214
    Abstract: A multi-layer circuit board capable of being applied with electrical testing includes a metallic delivery loading plate, a bottom-layer circuit structure, a conductive corrosion-barrier layer, and a multi-layer circuit structure. The bottom-layer circuit structure is overlapping on the delivery loading plate. The conductive corrosion-barrier layer is disposed on the bottom dielectric layer. The multi-layer circuit structure is overlapping on the bottom-layer circuit structure. The top-layer circuit of the multi-layer circuit structure is electrically connected to the conductive corrosion-barrier layer through the inner-layer circuit of the multi-layer circuit structure and the bottom-layer circuit of the bottom-layer circuit structure. The delivery loading plate and the bottom dielectric layer of the bottom-layer circuit structure expose the conductive corrosion-barrier layer.
    Type: Grant
    Filed: November 30, 2017
    Date of Patent: January 28, 2020
    Assignee: KINSUS INTERCONNECT TECHNOLOGY CORP.
    Inventors: Chin-Kuan Liu, Chao-Lung Wang, Shuo-Hsun Chang, Yu-Te Lu, Chin-Hsi Chang
  • Patent number: 10515902
    Abstract: Among other things, one or more semiconductor arrangements, and techniques for forming such semiconductor arrangements are provided. A layer, such as a poly layer or an inter layer dielectric (ILD) layer, is formed over a substrate. A photoresist mask is formed over the layer. The photoresist mask comprises an open region overlaying a target region of the layer and comprises a protection region overlaying a second region of the layer. An etching process is performed through the open region to reduce a height of the layer in the target region in relation to a height of the layer in the second region because the protection region inhibits the etching process from affecting the layer in the second region. A first structure, having a first height, is formed within the target region. A second structure, having a second height greater than the first height, is formed within the second region.
    Type: Grant
    Filed: January 15, 2018
    Date of Patent: December 24, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Tsung-Yu Chiang, Chen Kuang-Hsin, Bor-Zen Tien, Tzong-Sheng Chang
  • Patent number: 10510671
    Abstract: A method for forming a semiconductor device structure is provided. The method includes forming a first mask layer over a dielectric layer. The first mask layer has a trench. The trench has an inner wall and a bottom surface. The method includes forming a second mask layer in the trench. The method includes removing the second mask layer covering the bottom surface to form a second trench in the second mask layer. The second trench exposes the bottom surface and is over a first portion of the dielectric layer. The remaining second mask layer covers the inner wall. The method includes removing the first portion, the first mask layer, and the second mask layer to form a third trench in the dielectric layer. The method includes forming a conductive structure in the third trench.
    Type: Grant
    Filed: January 31, 2018
    Date of Patent: December 17, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Cherng Jeng, Shyh-Wei Cheng, Yun Chang, Chen-Chieh Chiang, Jung-Chi Jeng
  • Patent number: 10248023
    Abstract: A method of manufacturing a semiconductor device includes: coating a first resist containing a photoacid generator or a thermal acid generator on a semiconductor substrate; forming a first opening portion in the first resist by optical exposure; subjecting a shrink agent containing an acid to a crosslinking reaction by the heat treatment to form a thermoset layer on an overall surface of the first resist; coating a second resist on the semiconductor substrate and the thermoset layer; and forming a second opening portion located above the first opening portion and larger than the first opening portion in the second resist by optical exposure.
    Type: Grant
    Filed: February 19, 2018
    Date of Patent: April 2, 2019
    Assignee: Mitsubishi Electric Corporation
    Inventors: Yasuki Aihara, Kazuyuki Onoe, Takahiro Ueno
  • Patent number: 10164184
    Abstract: A memory structure includes a first dielectric layer, having a first top surface, over a conductive structure. A first opening in the first dielectric layer exposes an area of the conductive structure, and has an interior sidewall. A first electrode structure, having a first portion and a second portion, is over the exposed area of the conductive structure. The second portion extends upwardly along the interior sidewall. A resistance variable layer is disposed over the first electrode. A second electrode structure, having a third portion and a fourth portion, is over the resistance variable layer. The third portion has a second top surface below the first top surface of the first dielectric layer. The fourth portion extends upwardly along the resistance variable layer. A second opening is defined by the second electrode structure. At least a part of a second dielectric layer is disposed in the second opening.
    Type: Grant
    Filed: November 6, 2017
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fu-Ting Sung, Ching-Pei Hsieh, Chia-Shiung Tsai, Chern-Yow Hsu, Shih-Chang Liu
  • Patent number: 10114288
    Abstract: Wet-strippable underlayer compositions comprising one or more silicon-containing polymers comprising a backbone comprising Si—O linkages, one or more organic blend polymers, and a cure catalyst are provided. These compositions are useful in the manufacture of various electronic devices.
    Type: Grant
    Filed: September 1, 2016
    Date of Patent: October 30, 2018
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Charlotte A. Cutler, Suzanne M. Coley, Owendi Ongayi, Christopher P. Sullivan, Paul J. LaBeaume, Li Cui, Shintaro Yamada, Mingqi Li, James F. Cameron
  • Patent number: 10049892
    Abstract: Techniques herein include methods of processing photoresist patterns and photoresist materials for successful use in multi-patterning operations. Techniques include combinations of targeted deposition, curing, and trimming to provide a post-processed resist that effectively enables multi-patterning using photoresist materials to function as mandrels. Photoresist patterns and mandrels are hardened, strengthened, and/or dimensionally adjusted to provide desired dimensions and/or mandrels enabling straight sidewall spacers. Polymer is deposited with tapered profile to compensate for compressive stresses of various conformal or subsequent films to result in a vertical profile despite any compression.
    Type: Grant
    Filed: May 3, 2016
    Date of Patent: August 14, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Nihar Mohanty, Eric Chih-Fang Liu, Elliott Franke
  • Patent number: 10043851
    Abstract: A process flow for forming magnetic tunnel junction (MTJ) nanopillars with minimal sidewall residue and damage is disclosed wherein a pattern is first formed in a hard mask or uppermost MTJ layer. Thereafter, the hard mask sidewall is etch transferred through the remaining MTJ layers with a RIE process comprising main etch and over etch portions, and a cleaning step. The RIE process features noble gas and an oxidant that is one or more of CH3OH, C2H5OH, NH3, N2O, H2O2, H2O, O2, and CO. Noble gas/oxidant flow rate ratio during over etch may be greater than during main etch to avoid chemical damage to MTJ sidewalls. The cleaning step may comprise plasma or ion beam etch with the noble gas and oxidant mixture. Highest values for magnetoresistive ratio and coercivity (Hc) are observed for noble gas/oxidant ratios from 75:25 to 90:10, especially for MTJ nanopillar sizes ?100 nm.
    Type: Grant
    Filed: August 3, 2017
    Date of Patent: August 7, 2018
    Assignee: Headway Technologies, Inc.
    Inventors: Dongna Shen, Yu-Jen Wang
  • Patent number: 10020377
    Abstract: A method of manufacturing an electronic device comprising a first terminal (e.g. a source terminal), a second terminal (e.g. a drain terminal), a semiconductor channel connecting the first and second terminals and a gate terminal to which a potential may be applied to control a conductivity of the channel. The method comprises a first exposure of a photoresist from above the substrate using a mask and a second exposure from below, wherein in the second exposure the first and second terminals shield a part of the photoresist from exposure. An intermediate step reduces the solubility of the photoresist exposed in the first exposure. A window is formed in the photoresist at the location which was shielded by the mask, but exposed to radiation from below. Semiconductor material, dielectric material and conductor material are deposited inside the window to form a semiconductor channel, gate dielectric, and a gate terminal, respectively.
    Type: Grant
    Filed: November 7, 2016
    Date of Patent: July 10, 2018
    Assignee: Pragmatic Printing Limited
    Inventors: John James Gregory, Richard David Price
  • Patent number: 9982339
    Abstract: A mask comprises a mask substrate having a protruding section in an opening end surface of an opening, having an acute angle defined by ?1 and ?2 of no more than 43°, and having a height from a film-formation surface on the substrate to a tip section of the protruding section greater than the thickness of the film to be formed on the film-formation surface.
    Type: Grant
    Filed: January 22, 2016
    Date of Patent: May 29, 2018
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Tetsuya Okamoto, Takeshi Hirase, Tohru Senoo, Tohru Sonoda, Daichi Nishikawa, Mamoru Ishida
  • Patent number: 9941384
    Abstract: A semiconductor device includes a first III-V compound layer on a substrate, a second III-V compound layer on the first III-V compound layer, in which a material of the first III-V compound layer is different from that of the second III-V compound layer, a gate metal stack disposed on the second III-V compound layer, a source contact and a drain contact disposed at opposite sides of the gate metal stack, a gate field plate disposed between the gate metal stack and the drain contact, an anti-reflective coating (ARC) layer formed on the source contact and the drain contact, and an etch stop layer formed on the ARC layer.
    Type: Grant
    Filed: August 29, 2015
    Date of Patent: April 10, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jheng-Sheng You, Hsin-Chih Lin, Kun-Ming Huang, Lieh-Chuan Chen, Po-Tao Chu, Shen-Ping Wang, Chien-Li Kuo
  • Patent number: 9859208
    Abstract: A method for an interconnect structure including: forming a hard mask layer on a semiconductor substrate having a wiring line; patterning the hard mask layer to form a patterned hard mask layer having a hard mask layer opening; depositing a dielectric stack on the patterned hard mask layer and in the hard mask layer opening; patterning the dielectric stack to form a via opening aligned with the hard mask layer opening and to expose the wiring line through the via opening and the hard mask layer opening, a bottom of the via opening defined by the hard mask layer having the hard mask layer opening; and filling the via opening and the hard mask layer opening with a metal to form a via in contact with the wiring line.
    Type: Grant
    Filed: September 18, 2016
    Date of Patent: January 2, 2018
    Assignee: International Business Machines Corporation
    Inventors: Matthew S. Angyal, Naftali E. Lustig, Rasit O. Topaloglu
  • Patent number: 9659811
    Abstract: A method of forming a semiconductor device includes forming a low-k dielectric layer over a substrate and forming a first dielectric layer on the low-k dielectric layer. A first metal hard mask layer is formed on the first dielectric layer, and a second dielectric layer is formed on the first metal hard mask layer. A second metal hard mask layer is formed on the second dielectric layer, and a first trench opening is formed in the second metal hard mask layer and the second dielectric layer exposing the first metal hard mask layer. A first via opening is formed in the exposed first metal hard mask layer in the first trench opening, and the first trench opening and first via opening are extended into the low-k dielectric layer to form a first trench and a first via.
    Type: Grant
    Filed: July 7, 2016
    Date of Patent: May 23, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Yun Peng, Chung-Chi Ko, Shing-Chyang Pan
  • Patent number: 9634191
    Abstract: A wire-bond free semiconductor device with two electrodes both of which are accessible from the bottom side of the device. The device is fabricated with two electrodes that are electrically connected to the oppositely doped epitaxial layers, each of these electrodes having leads with bottom-side access points. This structure allows the device to be biased with an external voltage/current source, obviating the need for wire-bonds or other such connection mechanisms that must be formed at the packaging level. Thus, features that are traditionally added to the device at the packaging level (e.g., phosphor layers or encapsulants) may be included in the wafer level fabrication process. Additionally, the bottom-side electrodes are thick enough to provide primary structural support to the device, eliminating the need to leave the growth substrate as part of the finished device.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: April 25, 2017
    Assignee: CREE, INC.
    Inventors: Bernd Keller, Ashay Chitnis, Nicholas W. Medendorp, Jr., James Ibbetson, Max Batres
  • Patent number: 9548201
    Abstract: The present disclosure provides forming nanostructures with precision dimension control and minimum lithographic related errors for features with dimension under 14 nanometers and beyond. A self-aligned multiple spacer patterning (SAMSP) process is provided herein and the process utilizes minimum lithographic exposure process, but rather multiple deposition/etching process to incrementally reduce feature sizes formed in the mask along the manufacturing process, until a desired extreme small dimension nanostructures are formed in a mask layer.
    Type: Grant
    Filed: June 3, 2015
    Date of Patent: January 17, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ying Zhang, Uday Mitra, Praburam Gopalraja, Srinivas D. Nemani, Hua Chung
  • Patent number: 9543606
    Abstract: The present invention pertains to a fuel cell with a storage unit (4) for storing hydrogen (Hx), with a proton conductive layer, which covers a surface of the storage unit (4), and with a cathode (7) on a side of the proton conductive layer, which side is located opposite, wherein the storage unit (4) is directly coupled with an anode and/or the storage unit (4) is incorporated in a substrate (1) of a semiconductor. The storage unit (4) is preferably connected to the substrate (1) at least via a stress compensation layer (3).
    Type: Grant
    Filed: March 21, 2014
    Date of Patent: January 10, 2017
    Assignee: Micronas GmbH
    Inventors: Mirko Lehmann, Claas Mueller, Holger Reinecke, Mirko Frank, Gilbert Erdler
  • Patent number: 9536966
    Abstract: A semiconductor device includes a III-N layer, a plurality of parallel conductive fingers on the III-N layer, an insulator layer over the III-N layer, and a gate. The plurality of parallel conductive fingers includes a source and drain bus, a plurality of source fingers coupled to the source bus and extending from the source bus towards the drain bus to respective source finger ends, and a plurality of drain fingers coupled to the drain bus and extending from the drain bus towards the source bus to respective drain finger ends, the drain fingers being interdigitated between the source fingers. The gate comprises a plurality of straight and a plurality of connecting sections, each straight section between a source finger and adjacent drain finger, and the connecting sections each joining two adjacent straight sections and curving around a respective source or drain finger end.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: January 3, 2017
    Assignee: Transphorm Inc.
    Inventor: Tsutomu Ogino
  • Patent number: 9530689
    Abstract: Methods for fabricating integrated circuits are provided. One method includes decomposing a master pattern layout for a semiconductor device layer that includes a target metal line with a target interconnecting via/contact into a first sub-pattern and a second sub-pattern. The target metal line is decomposed into a first line feature pattern that is part of the first sub-pattern and a second line feature pattern that is part of the second sub-pattern such that the first and second line feature patterns have overlapping portions defining a stitch that corresponds to the target interconnecting via/contact. A first photomask is generated that corresponds to the first sub-pattern. A second photomask is generated that corresponds to the second sub-pattern.
    Type: Grant
    Filed: April 13, 2015
    Date of Patent: December 27, 2016
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Deniz Elizabeth Civay, Jason Eugene Stephens, Jiong Li, Guillaume Bouche, Richard A. Farrell
  • Patent number: 9502447
    Abstract: An array substrate comprises a base substrate, a gate line, a data line and a thin film transistor arranged in an array on the base substrate, a pixel electrode and a passivation layer, the thin film transistor include a gate electrode, an active layer, a source electrode and a drain electrode, and the pixel electrode and the active layer, the drain are disposed in a same layer and formed integrally. A display device comprising the array substrate and a manufacturing method of the array substrate are further disclosed.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: November 22, 2016
    Assignee: BOE Technology Co., Ltd.
    Inventor: Hongfei Cheng
  • Patent number: 9466486
    Abstract: A method of forming a target pattern includes forming a first trench in a substrate with a cut mask; forming a first plurality of lines over the substrate with a first main mask, wherein the first main mask includes at least one line that overlaps the first trench and is thereby cut into at least two lines by the first trench; forming a spacer layer over the substrate and the first plurality of lines and over sidewalls of the first plurality of lines; forming a patterned material layer over the spacer layer with a second main mask thereby the patterned material layer and the spacer layer collectively define a second plurality of trenches; removing at least a portion of the spacer layer to expose the first plurality of lines; and removing the first plurality of lines thereby resulting a patterned spacer layer over the substrate.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: October 11, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ru-Gun Liu, Hung-Chang Hsieh, Tien-I Bao, Chung-Ju Lee, Shau-Lin Shue
  • Patent number: 9454081
    Abstract: Disclosed is a method and apparatus for mitigation of photoresist line pattern collapse in a photolithography process by applying a gap-fill material treatment after the post-development line pattern rinse step. The gap-fill material dries into a solid layer filling the inter-line spaces of the line pattern, thereby preventing line pattern collapse due to capillary forces during the post-rinse line pattern drying step. Once dried, the gap-fill material is depolymerized, volatilized, and removed from the line pattern by heating, illumination with ultraviolet light, by application of a catalyst chemistry, or by plasma etching.
    Type: Grant
    Filed: July 3, 2014
    Date of Patent: September 27, 2016
    Assignee: Tokyo Electron Limited
    Inventors: Mark H Somervell, Benjamen M Rathsack, Ian J Brown, Steven Scheer, Joshua S Hooge
  • Patent number: 9433115
    Abstract: A photostructurable ceramic is processed using photostructuring process steps for embedding devices within a photostructurable ceramic volume, the devices may include one or more of chemical, mechanical, electronic, electromagnetic, optical, and acoustic devices, all made in part by creating device material within the ceramic or by disposing a device material through surface ports of the ceramic volume, with the devices being interconnected using internal connections and surface interfaces.
    Type: Grant
    Filed: June 5, 2013
    Date of Patent: August 30, 2016
    Assignee: The Aerospace Corporation
    Inventor: Henry Helvajian
  • Patent number: 9425133
    Abstract: An integrated circuit includes circuitry, a first conductor coupled to the circuitry, a conductive pad coupled to the first conductor, and a second conductor coupled to the conductive pad. The second conductor would be floating but for its coupling to the conductive pad. The second conductor may be spaced apart from the first conductor by a distance that is substantially equal to a width of a merged spacer that was formed from a merging of single sidewall spacers over a conductive material from which the first and second conductors were formed.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: August 23, 2016
    Assignee: Micron Technology, Inc.
    Inventor: Roger W Lindsay
  • Patent number: 9418862
    Abstract: A method includes forming a resist over a substrate, resulting in a layer of resist scum between the resist and the substrate. The method further includes forming trenches in the resist, wherein at least a portion of the layer of resist scum remains between the trenches and the substrate. The method further includes forming a first material layer in the trenches, wherein the first material layer has a higher etch resistance than the resist in an etching process. The method further includes performing the etching process to the first material layer, the resist, and the layer of resist scum, thereby forming a patterned first material layer over a patterned layer of resist scum over the substrate.
    Type: Grant
    Filed: November 6, 2015
    Date of Patent: August 16, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tsung-Min Huang, Chieh-Han Wu, Chung-Ju Lee, Chih-Tsung Shih, Jeng-Horng Chen, Shinn-Sheng Yu
  • Patent number: 9397266
    Abstract: Light emitting diodes include a diode region having first and second opposing faces that include therein an n-type layer and a p-type layer, an anode contact that ohmically contacts the p-type layer and extends on the first face, and a cathode contact that ohmically contacts the n-type layer and also extends on the first face. The anode and cathode contacts extend on the first face to collectively cover substantially all of the first face. A small gap may be provided between the contacts.
    Type: Grant
    Filed: January 20, 2014
    Date of Patent: July 19, 2016
    Assignee: CREE, INC.
    Inventors: Matthew Donofrio, James Ibbetson, Zhimin James Yao
  • Patent number: 9338898
    Abstract: A method-of producing a printed wiring board comprises: forming a via-hole for interlayer connection in a laminate in which a copper foil for laser processing comprises a copper foil and an easily soluble laser absorption layer provided on a surface of the copper foil which has a higher etching rate to a copper etchant than the copper foil and absorbs an infrared laser beam and another conductor layer is laminated through an insulating layer, directly irradiating the infrared laser beam on the easily soluble laser absorption layer; and removing the easily soluble laser absorption layer from the surface of the copper foil in a desmear step of removing a smear in a via-hole and/or a microetching step as a pretreatment of an electroless plating step is adopted.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: May 10, 2016
    Assignee: MITSUI MINING & SMELTING CO., LTD.
    Inventors: Joji Fujii, Hiroaki Tsuyoshi, Hiroto Iida, Kazuhiro Yoshikawa, Mitsuyoshi Matsuda
  • Patent number: 9287161
    Abstract: A method of manufacturing a wiring includes sequentially forming a first insulation layer, a first layer, and a second layer on a substrate, etching an upper portion of the second layer a plurality of times to form a second layer pattern including a first recess having a shape of a staircase, etching a portion of the second layer pattern and a portion of the first layer under the first recess to form a first layer pattern including a second recess having a shape of a staircase similar to the first recess, etching a portion of the first layer pattern under the second recess to form a first opening exposing a portion of a top surface of the first insulation layer, etching the exposed portion of the first insulation layer to form a second opening through the first insulation layer, and forming a wiring filling the second opening.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: March 15, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Hyun Lee, Myeong-Cheol Kim, Yoo-Jung Lee, Il-Sup Kim, Seung-Ju Park
  • Patent number: 9279925
    Abstract: An interference filter includes a first substrate, a second substrate opposed to the first substrate, a first optical film provided to the first substrate, and a second optical film provided to the second substrate and opposed to the first optical film, at least one of the first and second optical films has a metal film having a reflecting property and a transmitting property with respect to light in a desired wavelength band, a surface and an edge portion of the metal film are covered by a barrier film, and the barrier film is formed of a material having conductivity.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: March 8, 2016
    Assignee: Seiko Epson Corporation
    Inventor: Susumu Shinto
  • Patent number: 9269659
    Abstract: An interposer for a packaged semiconductor device is formed by applying an encapsulant to (e.g., by overmolding or applying lamination of tapes to) a perforated metal foil having vertical metal tabs that form the vertical metal vias in the interposer. A solid metal foil can be stamped using a micro-stamping tool to form the perforated foil and vertical tabs. Bump pads and/or re-distribution layer (RDL) traces are formed (e.g., using wafer fabrication processes or by applying flexible tape RDL layers) on the top and back sides of the foil to complete the manufacturing process. Such interposers can be cheaper to manufacture than conventional interposers having silicon or glass substrates with through-silicon vias (TSVs) formed using wafer fabrication processes.
    Type: Grant
    Filed: January 8, 2015
    Date of Patent: February 23, 2016
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Chee Seng Foong, Lan Chu Tan
  • Patent number: 9229318
    Abstract: In various embodiments of the present invention a circuit apparatus having a rounded trace, a method to manufacture the circuit apparatus, and a design structure used in the design, testing, or manufacturing of the circuit apparatus are described. An artwork layer having an adaptable-mask section allows a graded amount of light to pass into an underlying photoresist layer. Subsequent to developing the photoresist layer, the graded amount of light creates a rounded geometric void used as a mold or sidewall for the creation of at least a portion of a rounded trace. The photoresist layer is removed resulting in a circuit apparatus having a rounded trace.
    Type: Grant
    Filed: August 27, 2010
    Date of Patent: January 5, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Matthew S. Doyle, Joseph Kuczynski, Kevin A. Splittstoesser, Timothy J. Tofil
  • Patent number: 9224773
    Abstract: A device includes a semiconductor substrate having a front side and a backside. A photo-sensitive device is disposed at a surface of the semiconductor substrate, wherein the photo-sensitive device is configured to receive a light signal from the backside of the semiconductor substrate, and convert the light signal to an electrical signal. An amorphous-like adhesion layer is disposed on the backside of the semiconductor substrate. The amorphous-like adhesion layer includes a compound of nitrogen and a metal. A metal shielding layer is disposed on the backside of the semiconductor substrate and contacting the amorphous-like adhesion layer.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: December 29, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Chieh Chang, Jian-Shin Tsai, Chih-Chang Huang, Ing-Ju Lee, Ching-Yao Sun, Jyun-Ru Wu, Ching-Che Huang, Szu-An Wu, Ying-Lang Wang
  • Patent number: 9219253
    Abstract: A method for manufacturing an organic EL display device is provided in which an organic compound layer is formed by vapor deposition after a layer used for patterning the organic compound layer has been formed, so that the organic compound layer is formed without being affected by the surface tension of the sides of the layer for the patterning.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: December 22, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nozomu Izumi, Kenji Ookubo, Tomoyuki Hiroki, Nobuhiko Sato