Including Material Deposition Patents (Class 430/324)
  • Patent number: 7534555
    Abstract: Method of plating using a polymeric barrier layer including a polyphenolic polymer which has a repeating unit of the formula: wherein R1, R2, R3, R4, and R5 are individually hydrogen, a hydroxy group or an azo dye.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: May 19, 2009
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Daniel W. Bedell, Gregory Breyta, Tom K. Harris, III, April D. Hixson-Goldsmith, Murali Ramasubramanian, Alfred Renaldo, Benjamin L. Wang
  • Patent number: 7531293
    Abstract: The invention is directed to a radiation sensitive compound comprising a surface binding group proximate to one end of the compound for attachment to a substrate, and a metal binding group proximate to an opposite end of the compound. The metal binding group is not radiation sensitive. The radiation sensitive compound also includes a body portion disposed between the surface binding group and the metal binding group, and a radiation sensitive group positioned in the body portion or adjacent to the metal binding group. The surface binding group is capable of attaching to a substrate selected from a metal, a metal oxide, or a semiconductor material.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: May 12, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Cherie R. Kagan, Laura L. Kosbar, Sally A. Swanson, Charan Srinivasan
  • Publication number: 20090117496
    Abstract: A method for treating a surface of an element includes the steps of providing a photo-sensitive and flexible thin film, providing a planar photomask having a micro-structural pattern, transferring the micro-structural pattern to the thin film, attaching the thin film to the surface of the element and partially exposing a portion of the element, processing the exposed portion of the element, and removing the thin film to form a micro-structure on the surface of the element.
    Type: Application
    Filed: July 11, 2008
    Publication date: May 7, 2009
    Inventors: Tsung-Ting Yuan, Cheng-Chang Lee, Heng-Chung Chang, Huang-Kun Chen, Tai-Kang Shing
  • Patent number: 7510388
    Abstract: A mold capable of effecting alignment of the mold and the member to be processed with high accuracy even in such a state that a photocurable resin material is disposed between the mold and the member to be processed is constituted by a substrate 2010 formed of a first material and an alignment mark 2102 formed of a second material different from the first material. The first material and the second material have transmittivities to light in a part of an ultraviolet wavelength range of the ultraviolet light. The second material has a refractive index of not less than 1.7.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: March 31, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsunori Terasaki, Junichi Seki, Nobuhito Suehira, Hideki Ina, Shingo Okushima
  • Publication number: 20090078937
    Abstract: The present invention provides production methods of a pattern thin film, a semiconductor element and a circuit substrate, capable of eliminating the number of photolithography processes needed for patterning; and a semiconductor element, a circuit substrate, and an electron device obtained by the production methods. The production method of the pattern thin film of the present invention is a production method of a pattern thin film, comprising the steps of: forming a first resist pattern film on a thin film formed on a substrate; forming a second resist pattern film; patterning the thin film using at least the second resist pattern film, wherein in the step of forming the second resist pattern film, a fluid resist material or an organic solvent is applied on a groove of a bank pattern formed using the first resist pattern film.
    Type: Application
    Filed: January 31, 2006
    Publication date: March 26, 2009
    Inventors: Yuichi Saito, Takeshi Hara
  • Patent number: 7498119
    Abstract: A print patterned mask is formed a digital lithographic process on the surface of a photoresist or similar material layer. The print patterned mask is then used as a development or etching mask, and the underlying layer overdeveloped or overetched to undercut the print patterned mask. The mask may be removed and the underlying structure used an etch mask or as a final structure. Fine feature widths, narrower the minimum width of the print patterned mask features, may be obtained while realizing the benefits of digital lithography in the manufacturing process.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: March 3, 2009
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Scott Limb, William Wong, Steven Ready, Michael Chabinyc
  • Publication number: 20090053657
    Abstract: A pattern is formed by applying a first positive resist composition comprising a polymer comprising recurring units which become alkali soluble under the action of acid onto a substrate to form a first resist coating, heat treating, exposing, heat treating, developing to form a first resist pattern, applying a pattern surface coating composition comprising a hydroxyl-containing crosslinkable polymer onto the first resist pattern and crosslinking, thereby covering the first resist pattern with a crosslinked polymer film, applying a second positive resist composition thereon, heat treating, exposing, heat treating, and developing to form a second resist pattern.
    Type: Application
    Filed: August 21, 2008
    Publication date: February 26, 2009
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun HATAKEYAMA, Takeru WATANABE, Katsuhiro KOBAYASHI, Kazuhiro KATAYAMA
  • Publication number: 20090035584
    Abstract: Embodiments of a method for device fabrication by reverse pitch reduction flow include forming a first pattern of features above a substrate and forming a second pattern of pitch-multiplied spacers subsequent to forming the first pattern of features. In embodiments of the invention the first pattern of features may be formed by photolithography and the second pattern of pitch-multiplied spacers may be formed by pitch multiplication. Other methods for device fabrication are provided.
    Type: Application
    Filed: July 30, 2007
    Publication date: February 5, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Luan C. Tran, Raghupathy Giridhar
  • Publication number: 20090029297
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely by way of bringing thusly treated substrate into contact with a remover solution for over 60 seconds.
    Type: Application
    Filed: September 18, 2008
    Publication date: January 29, 2009
    Inventors: Fumitake Kaneko, Yoshiki Sugeta, Toshikazu Tachikawa
  • Publication number: 20090023098
    Abstract: This invention provides processing steps, methods and materials strategies for making patterns of structures for integrated electronic devices and systems. Processing methods of the present invention are capable of making micro-and nano-scale structures, such as Dual Damascene profiles, recessed features and interconnect structures, having non-uniform cross-sectional geometries useful for establishing electrical contact between device components of an electronic device. The present invention provides device fabrication methods and processing strategies using sub pixel-voting lithographic patterning of a single layer of photoresist useful for fabricating and integrating multilevel interconnect structures for high performance electronic or opto-electronic devices, particularly useful for Very Large Scale Integrated (VLSI) and Ultra large Scale Integrated (ULSI) devices.
    Type: Application
    Filed: August 29, 2007
    Publication date: January 22, 2009
    Inventors: Kanti Jain, Uttam Reddy
  • Publication number: 20090004572
    Abstract: The present disclosure is directed to a method for monitoring focus of a photolithography system. The method comprises providing a substrate and depositing a photoresist layer on the substrate. At least one photomask is provided comprising one or more forbidden pitch photomask patterns formed thereon. The forbidden pitch patterns are imaged in the photoresist layer by exposing the photoresist layer to radiation through the at least one photomask. The imaged forbidden pitch patterns are developed in the photoresist. Focus error information regarding the imaging process can be determined using the developed forbidden pitch patterns.
    Type: Application
    Filed: June 27, 2007
    Publication date: January 1, 2009
    Inventor: Yong Seok Choi
  • Publication number: 20080318170
    Abstract: A method of making an optical disc is disclosed, in which, a flexible imprint mold is made from a fluid-state flexible silicone and has a reversal pattern of a first pattern on a surface. Also, a substrate is provided and has a second pattern on the surface with a semi-reflective layer deposited thereon. A dye or UV curable resin layer is formed on the semi-reflective layer. The flexible imprint mold is used to imprint the dye or UV curable resin layer and allowed to stay on it. After the dye or UV curable resin layer is cured, the flexible imprint mold is released from the cured dye or UV curable resin layer, such that the dye or UV curable resin layer has the first pattern.
    Type: Application
    Filed: August 13, 2007
    Publication date: December 25, 2008
    Inventors: Chih-Hsun Lin, Fung-Hsu Wu
  • Publication number: 20080311511
    Abstract: A photosensitive resin composition, a photosensitive resin laminate, and a method for forming a pattern capable of realizing high hardness while using an epoxy group-containing acrylic resin are provided. In a photosensitive resin composition including (A) an epoxy group-containing acrylic resin, (B) a photopolymerization initiator, and (C) a sensitizer, an onium salt having a specific structure is used as the component (B), and at least one kind selected from 1,5-dihydroxynaphthalene, 2,3-dihydroxynaphthalene, and 2,6-dihydroxynaphthalene is used as the component (C).
    Type: Application
    Filed: June 10, 2008
    Publication date: December 18, 2008
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Koichi Misumi, Koji Saito
  • Publication number: 20080309900
    Abstract: A method and apparatus to fabricate a patterned structure using a template supported on a carrier. The method includes patterning a material to conform to the patterned structure. The patterned material is cured while remaining on the template. The carrier is removable during the curing process. The template is later removed from the patterned material to obtain the patterned structure. A patterning device is also provided, which is formed by a template and a carrier releasably attached to each other. The template and the carrier can be separated from each other when the patterning device is subjected to curing of the patterned structure.
    Type: Application
    Filed: June 12, 2007
    Publication date: December 18, 2008
    Inventors: Steve Oliver, Ulrich Boettiger
  • Publication number: 20080305011
    Abstract: Provided are a microfluidic chip and a method of fabricating the same. The microfluidic chip includes: a lower substrate; an upper substrate formed of a silicone resin, wherein the lower substrate and the upper substrate, bonded together, provide a channel through which a fluid can flow and a chamber to receive the fluid; and an organic thin film formed on the upper surface of the lower substrate except for portions on which the lower substrate and the upper substrate are attached to each other.
    Type: Application
    Filed: November 5, 2007
    Publication date: December 11, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Kyu-youn HWANG, Joon-ho Kim, Chin-sung Park, Sung-young Jeong
  • Publication number: 20080305442
    Abstract: A formation method for a patterned material layer comprising a step of exposing a composite layer to light in a predetermined pattern, the composite layer including a first photosensitive resin layer, a protective film, and an upper resin layer; a step of partly removing the exposed composite layer so as to form an opening exposing the substrate and form a groove along the main surface of the substrate on a side face of the opening by depressing the end portion of the upper resin layer on the substrate side, thereby forming a resist frame comprising the composite layer formed with the opening; a step of forming a vacuum coated layer having a material pattern part formed on the substrate in the opening and a part to lift off formed on the resist frame, by vacuum coating process; and a step of removing the part to lift off together with the resist frame, so as to yield a patterned material layer.
    Type: Application
    Filed: June 5, 2007
    Publication date: December 11, 2008
    Applicant: TDK CORPORATION
    Inventors: Kazuki Sato, Akifumi Kamijima, Takayasu Kanaya, Kei Hirata
  • Publication number: 20080299502
    Abstract: In a coating and developing apparatus applied to liquid-immersion light exposure, substrates without an appropriately formed protective film can be recovered without adversely affecting normal-substrate processing efficiency, and in addition, removal of protective films can be simplified. In the coating and developing apparatus of the present invention, abnormal substrates not appropriately surface-coated with a protective film during liquid-immersion light exposure are queued in a queuing module, instead of being loaded into an exposure unit, and after the immediately preceding substrate has been unloaded from the exposure unit and loaded into a designated module, for example, a pre-developing second heating module, each abnormal substrate is loaded into the designated module in order to prevent so-called “scheduled transfer” from being affected, and a protective-film removing unit is also controlled to process the abnormal substrate.
    Type: Application
    Filed: May 28, 2008
    Publication date: December 4, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tomonori SHIN, Kouji OKAMURA, Tomohiro KANEKO, Akira MIYATA, Syuzo FUJIMARU
  • Publication number: 20080292996
    Abstract: In one disclosed embodiment, a method for producing a high resolution resist pattern on a semiconductor wafer comprises depositing a blanket layer of material on a semiconductor wafer, forming a resist interaction substrate on the blanket layer of material, forming a resist layer of a pre-determined thickness on the resist interaction substrate, exposing the resist layer to a patterned radiation, and developing the resulting high resolution resist pattern. In one embodiment, patterned radiation is provided by an extreme ultraviolet (EUV) light source. In other embodiments, patterned radiation may be provided by an electron beam, or ion beam, for example. In one embodiment, the resist layer comprises a chemically amplified resist utilizing a photogenerated acid (PGA), and having a sublayer. In other embodiments, the resist layer includes an additive, for example, fullerite. One disclosed embodiment involves use of an ultra-thin resist layer in combination with a gold resist interaction substrate.
    Type: Application
    Filed: May 21, 2007
    Publication date: November 27, 2008
    Inventors: Uzodinma Okoroanyanwu, Thomas Wallow
  • Publication number: 20080292892
    Abstract: Disclosed is a manufacturing method of metal structure in multi-layer substrate and structure thereof. The manufacturing method of the present invention comprises following steps: coating at least one photoresist layer on a surface of a dielectric layer, and then exposing the photoresist dielectric layer to define a predetermined position of the metal structure; therefore, removing the photoresist layer at the predetermined position and forming the metal structure at the predetermined position before forming at least one top-cover metal layer on a surface of the metal structure. The present invention can form a cover metal layer covering over the top surface and the two side surfaces, even the under surface of the metal structure, by one single photomask. Moreover, a finer metal structure with higher reliability can be manufactured. Furthermore, a metal structure can be used as a coaxial structure is also realized.
    Type: Application
    Filed: December 5, 2007
    Publication date: November 27, 2008
    Applicant: Princo Corp.
    Inventor: Chih-kuang Yang
  • Publication number: 20080286683
    Abstract: A method and a structure. The structure includes: a solid core comprising a first photoresist material, the core having a bottom surface on a substrate, a top surface and opposite first and second side surfaces between the top surface and the bottom surface; and a shell comprising a second photoresist material, the shell on the top surface of the substrate, the shell containing a cavity open to the top surface of the substrate, the shell formed over the top surface and the first and second side surfaces walls of the core, the core completely filling the cavity. The core is stiffer than the shell. The method includes: forming the core from a first photoresist layer and forming the shell from a second photoresist layer applied over the core. The core may be cross-linked to increase its stiffness.
    Type: Application
    Filed: May 17, 2007
    Publication date: November 20, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Colin J. Brodsky, Allen H. Gabor, Javier Perez
  • Publication number: 20080286698
    Abstract: Methods for manufacturing semiconductor devices are disclosed. One preferred embodiment is a method of processing a semiconductor device. The method includes providing a workpiece having a material layer to be patterned disposed thereon. A masking material is formed over the material layer of the workpiece. The masking material includes a lower portion and an upper portion disposed over the lower portion. The upper portion of the masking material is patterned with a first pattern. An additional substance is introduced and the lower portion of the masking material is patterned. The masking material and the additional substance are used to pattern the material layer of the workpiece.
    Type: Application
    Filed: May 18, 2007
    Publication date: November 20, 2008
    Inventors: Haoren Zhuang, Chong Kwang Chang, Alois Gutmann, Jingyu Lian, Matthias Lipinski, Len Yuan Tsou, Helen Wang
  • Publication number: 20080286699
    Abstract: Some embodiments include methods of treating reticles to provide backside masking across regions of the reticle to compensate for problems occurring during photolithographic processing. The problems may be, for example, defects in the reticle, problems associated with deposition or development of photoresist, or problems associated with substrate topography. The masking may alter one or both of transmission of electromagnetic radiation through the masked regions, and polarization of electromagnetic radiation passed through the masked regions. Some embodiments include reticles having patterns along front sides for patterning electric magnetic radiation, and masks across portions of the backsides to at least partially block transmission of electromagnetic radiation through portions of the patterns.
    Type: Application
    Filed: May 17, 2007
    Publication date: November 20, 2008
    Inventors: William Stanton, Gurtej S. Sandhu
  • Publication number: 20080286700
    Abstract: A method for patterning and forming very small structures on a substrate such as a wafer. The process uses a difference in surface energy between a mask and the substrate to selectively deposit a hard mask material such as a metal onto the surface of the substrate. The mask can be formed extremely thin, such as only an atomic mono-layer thick, and can be patterned by ion beam photolithography. The pattern can, therefore, be formed with extremely high resolution. The thin mask layer can be constructed of various materials and can be constructed of perfluoropolyether diacrylate (PDA), which can be dip coated to and exposed to form a desirable positive photoresist mask layer.
    Type: Application
    Filed: July 22, 2008
    Publication date: November 20, 2008
    Inventors: Zvonimir Z. Bandic, Bernhard E. Knigge, Charles Mathew Mate
  • Patent number: 7452659
    Abstract: Surface features are fabricated using a single layer or multi-layer molecular resist. The resist is preferably a selective adsorption resist. Selective adsorption resist is a resist that allows a deposited material to penetrate the resist such that the resist will reform on the top of the deposited material. Also, a nanofabricated system enables monitoring of the addition or removal of molecular species or proteins from a junction by monitoring the electronic properties of the junction.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: November 18, 2008
    Assignee: The Penn State Research Foundation
    Inventor: Gregory S. McCarty
  • Publication number: 20080280033
    Abstract: It is an object of the present invention to improve the usability of a material, and to provide a display device which can be manufactured by simplifying the manufacturing process and a manufacturing technique thereof. It is also an object of the invention to provide a technique in which a pattern of a wiring or the like constituting these display devices can be formed to have a desired shape with favorable controllability. One feature of a droplet discharge device of the invention comprises: a discharge means for discharging a composition including a pattern forming material; and a shape means for shaping the shape of the composition before the composition is attached to a formation region, in which the shape means is provided between the discharge means and the formation region.
    Type: Application
    Filed: May 16, 2008
    Publication date: November 13, 2008
    Inventors: Shunpei Yamazaki, Keitaro Imai
  • Publication number: 20080278068
    Abstract: A method of patterning a thin film, comprising: depositing an intermediate, radiation sensitive, layer on a substrate; depositing the thin film on the intermediate layer, before or after deposition of the thin film: exposing the intermediate layer to patterned radiation in order to initiate a chemical reaction therein; and removing patterned radiation-defined parts of the intermediate layer and corresponding thin film, to leave patterned thin film and patterned intermediate layer on the substrate.
    Type: Application
    Filed: November 15, 2006
    Publication date: November 13, 2008
    Applicant: IMPERIAL COLLEGE INNOVATIONS LIMITED
    Inventors: Jingsong Huang, John De Mello, Donal Bradley
  • Publication number: 20080268382
    Abstract: Disclosed is a glass paste containing a glass powder and an organic component, wherein a black pigment is composed of a complex oxide having a spinel structure and containing Co element and one or more metal elements other than Co element. Consequently, the glass paste is suppressed in color degradation at high temperatures, and thus enables to form a pattern having excellent color and degree of blackness after sintering.
    Type: Application
    Filed: November 28, 2006
    Publication date: October 30, 2008
    Applicant: TORAY INDUSTRIES, INC.
    Inventors: Akihiko Tanaka, Hidenobu Takada, Minoru Tanemoto
  • Publication number: 20080268383
    Abstract: A coating and developing system includes two rotating members 131 and 132 respectively having parallel horizontal axes of rotation and disposed longitudinally opposite to each other, a carrying passage forming mechanism 130 extended between the rotating members 131 and 132 to form a carrying passage, and capable of moving along an orbital path to carry a wafer W supported thereon along the carrying passage, a sending-in transfer unit 110 disposed at the upstream end of the carrying passage, a sending-out transfer unit 111 disposed at the downstream end of the carrying passage, a developer pouring nozzle 151 for pouring a developer onto the wafer W, a cleaning nozzle 152 for pouring a cleaning liquid onto the wafer W, and a gas nozzle 154 for blowing a gas against the wafer W.
    Type: Application
    Filed: April 16, 2008
    Publication date: October 30, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuaki MATSUOKA, Takahiro Hashimoto, Katsuhiro Tsuchiya, Shinichi Hayashi, Yasushi Hayashida
  • Publication number: 20080261121
    Abstract: A photomask and a method of fabricating the photomask. The photomask including: a substrate transparent to a selected wavelength or wavelengths of radiation, the substrate having a top surface and an opposite bottom surface, the substrate having a printable region and a non-printable region; the printable region having first opaque regions raised above the top surface of the substrate adjacent to clear regions, each opaque region of the first opaque regions having sidewalls and opposite top and bottom surfaces, the first opaque regions including a metal; the non-printable region including metal second opaque region raised above the top surface of the substrate, the second opaque region having sidewalls and opposite top and bottom surface, the second opaque regions including the metal; and a conformal protective metal oxide capping layer on top surfaces and sidewalls of the first and second opaque regions. The conformal layer is formed by oxidation.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 23, 2008
    Inventors: Jeffrey Peter Gambino, Robert Kenneth Leidy, Kirk David Peterson, Jed Hickory Rankin, Edmund Juris Sprogis
  • Publication number: 20080261160
    Abstract: A method for manufacturing a patterned thin-film layer according to one preferred embodiment includes the steps of: providing a substrate with a plurality of banks thereon, the plurality of banks defining a plurality of spaces therein for receiving ink therein, each of the banks having a top surface and a plurality of side surfaces adjacent to the top surface; irradiating the plurality of banks with UV light beams, wherein the UV light beams are obliquely incident on the top surfaces of the substrate such that a surface wettability of the ink on the top surfaces is lower than that of the side surfaces of the banks; applying ink into the spaces; and curing the ink so as to form a patterned thin-film layer on the substrate.
    Type: Application
    Filed: December 18, 2007
    Publication date: October 23, 2008
    Applicant: ICF TECHNOLOGY LIMITED.
    Inventor: YU-NING WANG
  • Publication number: 20080261122
    Abstract: A photomask and a method of fabricating the photomask. The photomask including: a substrate transparent to a selected wavelength or wavelengths of radiation, the substrate having a top surface and an opposite bottom surface, the substrate having a printable region and a non-printable region; the printable region having first opaque regions raised above the top surface of the substrate adjacent to clear regions, each opaque region of the first opaque regions having sidewalls and a top surface; the non-printable region comprising a second opaque region raised above the top surface of the substrate, the second opaque region having sidewalls and a top surface; and a capping layer on the sidewalls of the first opaque regions and the sidewalls of the second opaque region.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 23, 2008
    Inventors: Jeffrey Peter Gambino, Robert Kenneth Leidy, Kirk David Peterson, Jed Hickory Rankin, Edmund Juris Sprogis
  • Publication number: 20080261120
    Abstract: A photomask and a method of fabricating the photomask. The photomask including: a substrate transparent to a selected wavelength or wavelengths of radiation, the substrate having a top surface and an opposite bottom surface, the substrate having a printable region and a non-printable region; the printable region having first opaque regions raised above the top surface of the substrate adjacent to clear regions, each opaque region of the first opaque regions having sidewalls and opposite top and bottom surfaces, the first opaque regions including a metal; the non-printable region including metal second opaque region raised above the top surface of the substrate, the second opaque region having sidewalls and opposite top and bottom surface, the second opaque regions including the metal; and a conformal protective metal oxide capping layer on top surfaces and sidewalls of the first and second opaque regions. The conformal layer is formed by oxidation.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 23, 2008
    Inventors: Jeffrey Peter Gambino, Robert Kenneth Leidy, Kirk David Peterson, Jed Hickory Rankin, Edmund Juris Sprogis
  • Publication number: 20080248267
    Abstract: Ultrasonic pulser-receiver circuitry, for use with an ultrasonic transducer, the circuitry comprising a circuit board; ultrasonic pulser circuitry supported by the circuit board and configured to be coupled to an ultrasonic transducer and to cause the ultrasonic transducer to emit an ultrasonic output pulse; receiver circuitry supported by the circuit board, coupled to the pulser circuitry, including protection circuitry configured to protect against the ultrasonic pulse and including amplifier circuitry configured to amplify an echo, received back by the transducer, of the output pulse; and a connector configured to couple the ultrasonic transducer directly to the circuit board, to the pulser circuitry and receiver circuitry, wherein impedance mismatches that would result if the transducer was coupled to the circuit board via a cable can be avoided.
    Type: Application
    Filed: June 8, 2005
    Publication date: October 9, 2008
    Applicant: SCHOTT AG
    Inventor: Steven C. Taylor
  • Publication number: 20080248264
    Abstract: The present invention relates to a material pattern, and mold using thereof, metal thin-film pattern, metal pattern, and method of forming the sames. A method of forming the material pattern according to the present invention comprises the steps of; (a) forming a photo-sensitive material film by coating a photo-sensitive material on a substrate; (b) deciding an exposure section on the photo-sensitive material film; (c) disposing a light refraction film and a light diffusion film at a route of light exposed on the photo-sensitive material film; and (d) forming a pattern on the photo-sensitive material film, by projecting a light on the exposure section of the photo-sensitive material film, wherein the light transmits the light refraction film and the light diffusion film.
    Type: Application
    Filed: April 4, 2008
    Publication date: October 9, 2008
    Inventors: Jin-Wan Jeon, Jun-Bo Yoon, Koeng Su Lim
  • Patent number: 7432026
    Abstract: A method of manufacturing a dichroic filter array is provided and comprises forming a first dichroic filter material layer on a substrate, and then forming a patterned photoresist layer on the first dichroic filter material layer. The exposed portion of the first dichroic filter material layer is removed so as to form a plurality of first dichroic filter units. A second dichroic filter material layer is formed on the substrate and the patterned photoresist layer. The patterned photoresist layer and the second dichroic filter material layer located on the patterned photoresist layer are removed, and the second dichroic filter material layer between the first dichroic filter units are transformed into a plurality of second dichroic filter units. By using etching process and the lift-off process to simultaneously remove redundant dichroic filter material and the photoreisit layer, the multi-chroic filter array device with a relatively small volume can be rapidly produced.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: October 7, 2008
    Assignees: United Microelectronics Corp., United Microdisplay Optronics Corp.
    Inventors: Yi-Tyng Wu, Fu-Kuo Ou
  • Publication number: 20080233521
    Abstract: A method for manufacturing a substrate for making a microarray wherein a monomolecular film for immobilizing a target molecule can be simply formed position-selectively in manufacture of the substrate for making the microarray is provided. A method for manufacturing a substrate for making a microarray, comprising, a step of forming a resist film on the substrate using a chemically amplified positive resist composition using a copolymer where a content of a monomer unit having a hydroxyl group is 5 mole % or less relative to total monomer units as a binder; a step of patterning the resist film; a step of forming a monomolecular film having a silicon oxide chain on the substrate having the patterned resist film; and subsequently a step of removing the resist film.
    Type: Application
    Filed: February 27, 2008
    Publication date: September 25, 2008
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Wataru Kusaki, Takeshi Kinsho, Toshinobu Ishihara
  • Publication number: 20080227038
    Abstract: After formation of an underlayer film and an intermediate layer film, a resist pattern formed by the first pattern exposure with the first resist film and the second pattern exposure with the second resist film is transferred to the intermediate layer film. The underlayer film is etched using an intermediate layer pattern as a mask to form an underlayer film pattern. Herein, the first and second resist films are chemically amplified resist films. The second resist film contains a greater amount of additive which improves the sensitivity of the resist or which improves the alkaline solubility of resist exposed part.
    Type: Application
    Filed: February 12, 2008
    Publication date: September 18, 2008
    Inventors: Masayuki ENDO, Masaru SASAGO
  • Publication number: 20080227030
    Abstract: Resist compositions having good footing properties even on difficult substrates are obtained by using a combination of base additives including a room temperature solid base, and a liquid low vapor pressure base. The compositions are especially useful on metal substrates such as chromium-containing layers commonly used in mask-making.
    Type: Application
    Filed: February 11, 2004
    Publication date: September 18, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wayne M. Moreau, Marie Angelopoulos, Wu-Song Huang, David R. Medeiros, Karen E. Petrillo
  • Publication number: 20080220375
    Abstract: In a method of reworking a substrate, an organic anti-reflection coating (ARC) layer is formed on the substrate having an amorphous carbon pattern. A photoresist pattern is formed on the organic ARC layer. The photoresist pattern is entirely exposed when the photoresist pattern has a selected level of defects, and then the photoresist pattern is removed by a developing process. The substrate may be reworked without damaging the organic ARC layer, and the amorphous carbon pattern may include an alignment key and/or an overlay key.
    Type: Application
    Filed: March 4, 2008
    Publication date: September 11, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Chul Kim, Bong-Chan Kim, In-Seak Hwang, Kwang-Wook Lee
  • Publication number: 20080212017
    Abstract: A transparent optical component (10) comprises at least one transparent set of cells (15) juxtaposed parallel to a surface of the component, each cell being separated by walls (18) parallel to the component surface, hermetically sealed and containing at least one substance having an optical property, and at least one absorbing coating (30), placed on the walls on one side extending parallel to said component surface. The optical component can be cut out along a predefined contour and optionally drilled. The invention also comprises a method for producing such optical component and its use for producing an optical element. The optical element may be a spectacle lens in particular.
    Type: Application
    Filed: July 13, 2006
    Publication date: September 4, 2008
    Applicant: Essilor International (Compagnie Generale D'Optique)
    Inventors: Jerome Ballet, Jean-Paul Cano
  • Patent number: 7419768
    Abstract: The invention includes methods of fabricating integrated circuitry and semiconductor processing polymer residue removing solutions. In one implementation, a method of fabricating integrated circuitry includes forming a conductive metal line over a semiconductor substrate. The conductive line is exposed to a solution comprising an inorganic acid, hydrogen peroxide and a carboxylic acid buffering agent. In one implementation, a method of fabricating integrated circuitry includes forming an insulating layer over a semiconductor substrate. A contact opening is at least partially formed into the insulating layer. The contact opening is exposed to a solution comprising an inorganic acid, hydrogen peroxide and a carboxylic acid buffering agent. In one implementation, a semiconductor processing polymer residue removing solution comprises an inorganic acid, hydrogen peroxide and a carboxylic acid buffering agent. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: September 2, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Donald L. Yates
  • Patent number: 7419769
    Abstract: A negative photoresist composition is used for the formation of thick films and includes (A) a novolak resin, (B) a plasticizer, (C) a crosslinking agent and (D) an acid generator. The composition is applied onto a substrate and thereby yields a photoresist film 5 to 100 ?m thick. Likewise, the composition is applied onto a substrate of an electronic part, is patterned, is plated and thereby yields a bump.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: September 2, 2008
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Koji Saito, Kouichi Misumi, Toshiki Okui, Hiroshi Komano
  • Publication number: 20080206687
    Abstract: In an example embodiment, there is a method (600) for determining an approximately optimal resist thickness comprising providing a first substrate coated with a resist film having a first thickness using a first coat program, (605, 610). The first thickness of resist is measured (615, 620). A second substrate is provided (625) and coated with a resist film using the first coat program. The resist film on the second substrate is exposed to radiation. The reflectance spectrum near the actinic wavelength of the resist film is measured (630). As a function of the periodicity of the reflectance spectrum, an effective refractive index is determined. Based on the effective refractive index, a periodicity of a swing curve of the resist film coated on the second substrate is determined (635). The maxima and minima are determined as a function of the periodicity.
    Type: Application
    Filed: August 7, 2004
    Publication date: August 28, 2008
    Applicant: Koninklijke Philips Electronics N.V.
    Inventor: David Ziger
  • Publication number: 20080206828
    Abstract: A device for introducing a substance into a cell which can realize a high-efficient external substance introduction by means of electro-poration not depending on a cell size, a cell clamping device capable of clamping a cell at many locations, and a flow path forming method capable of efficiently forming a flow path. The device for introducing a substance into a cell (10a) comprises an insulating thin film (2) having a pore (1) and a pair of electrodes (6, 7) disposed on the opposite sides of the film (2) across the pore (1). When a cell (9) is fixed to the pore (1) and a pulse voltage is applied to between the electrodes (6, 7) with a space (5) filled with a fluid containing substance (4) to be introduced into the cell (9), a field concentration to a pore portion is used to destroy a cell membrane to thereby introduce the substance (4) into the cell (9).
    Type: Application
    Filed: March 17, 2006
    Publication date: August 28, 2008
    Applicant: Kyoto University
    Inventors: Hidetoshi Kotera, Isaku Kanno, Takaaki Suzuki, Masao Washizu
  • Patent number: 7416837
    Abstract: The present invention provided an improvement to reduce an edge roughness during forming a small and fine pattern. Such and objective is to accomplish that after patterning a resist film, a coating film is formed on the resist film, so as to intermix the resist film material with the coating film material at the interface therebetween to reduce the edge roughness. There is provided a resist pattern-improving material, comprising: (a) a water-soluble or alkali-soluble composition, comprising: (i) a resin, and (ii) a crosslinking agent. Alternatively, The resist pattern-improving material, comprising (a) a water-soluble or alkali-soluble composition, comprising: (i) a resin, and (ii) a nonionic surfactant. According to the present invention, a pattern is prepared in the step, comprising: (a) forming a resist pattern; and (b) coating the resist pattern-improving material on the surface of the resist pattern.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: August 26, 2008
    Assignee: Fujitsu Limited
    Inventors: Koji Nozaki, Miwa Kozawa
  • Publication number: 20080193879
    Abstract: Photoresist additive polymers and photoresist formulations that can be used in immersion lithography without the use of an additional topcoat. The resist compositions include a photoresist polymer, at least one photoacid generator, a solvent; and a photoresist additive polymer. Also a method of forming using photoresist formulations including photoresist additive polymers.
    Type: Application
    Filed: January 31, 2008
    Publication date: August 14, 2008
    Inventors: Robert Allen, Phillip Brock, Shiro Kusumoto, Yukio Nishimura, Daniel P. Sanders, Mark Steven Slezak, Ratnam Sooriyakumaran, Linda K. Sundberg, Hoa Truong, Gregory M. Wallraff
  • Publication number: 20080193790
    Abstract: The inventive nickel drum comprises a first part whose lateral surface is provided with perforations at the first level thereof and a second part whose lateral surface is provided with perforations at the second level different from the first level. Said invention can be used for producing nonwovens.
    Type: Application
    Filed: May 19, 2006
    Publication date: August 14, 2008
    Applicant: RIETER PERFOJET
    Inventor: Ludovic Leroy
  • Patent number: 7407738
    Abstract: This disclosure relates to a system and method for fabricating and using a superlattice. A superlattice can be fabricated by applying alternating material layers on a ridge and then removing some of the alternating layers to expose edges. These exposed edges can be of nearly arbitrary length and curvature. These edges can be used to fabricate an array of nano-scale-width curved wires.
    Type: Grant
    Filed: April 2, 2004
    Date of Patent: August 5, 2008
    Inventors: Pavel Kornilovich, Peter Mardilovich, James Stasiak, Niranjan Thirukkovalur
  • Publication number: 20080182205
    Abstract: A polymer having a polymerizable group and an alkyleneoxy groups on side chains thereof, and a polymerizable composition containing the polymer. The polymerizable composition preferably contains a polymerizable compound and a polymerization initiator. Also provided is a planographic printing plate precursor having a polymerizable layer on a hydrophilic support, the polymerizable layer containing a polymer having a polymerizable on a side chain thereof. The planographic printing plate precursor can form an image without being subjected to an alkali development. An undercoat layer containing a specific copolymer may be provided between the support and the photopolymerizable layer.
    Type: Application
    Filed: March 10, 2008
    Publication date: July 31, 2008
    Inventors: Kazuto Kunita, Sumiaki Yamasaki
  • Publication number: 20080182081
    Abstract: A method of fabricating a polymer or resist pattern over a substrate includes coating a photosensitive polymer or resist over the substrate to form a polymer or resist layer, determining a portion of the polymer or resist layer to be exposed to light, placing a light adjusting layer in an optical path of light shone on the polymer or resist layer, and adjusting the light adjusting layer to adjust a direction or intensity of the light shone on the polymer or resist layer. Based on the method, it is easy to fabricate a polymer or resist pattern, a metal film pattern, metal pattern structure, and a polymer mold, each having three-dimensional structures with various slopes or shapes by adjusting a direction or intensity of incident light when performing a lithography process.
    Type: Application
    Filed: November 6, 2006
    Publication date: July 31, 2008
    Inventors: Jin-Wan Jeon, Jun-Bo Yoon, Koeng Su Lim