Including Material Deposition Patents (Class 430/324)
  • Patent number: 8278026
    Abstract: A method for improving the efficiency of the electron-beam exposure is provided, comprising: step 1) coating a positive photoresist on a wafer to be processed, and performing a pre-baking; step 2) separating pattern data, optically exposing a group of relatively large patterns, and then performing a post-baking; step 3) developing the positive photoresist; step 4) performing a plasma fluorination; step 5) performing a baking to solidify the photoresist; step 6) coating a negative electron-beam resist and performing a pre-baking; step 7) electron-beam exposing a group of fine patterns; step 8) performing a post-baking; and step 9) developing the negative electron-beam resist, so that the fabrication of the patterns is finished. According to the invention, it is possible to save 30-60% of the exposure time. Thus, the exposure efficiency is significantly improved, and the cost is greatly reduced. Further, the method is totally compatible with the CMOS processes, without the need of any special equipments.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 2, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Gaobo Xu
  • Patent number: 8268544
    Abstract: A stamp for patterning onto a receiving surface of an object (101) according to a defined pattern (P) comprises a stamping surface (21) of a resilient diaphragm (20). The stamping surface is planar at rest. The pattern is reproduced on the stamping surface and the diaphragm is affixed to a rigid body (13) along a peripheral edge, so that a middle part of the diaphragm can move along a direction perpendicular to the stamping surface. The diaphragm (20) is more flexible near the peripheral edge than in the middle part. Then, the pattern (P) printed on a pseudo-spherical receiving surface (103) using the stamp exhibits few distortion.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: September 18, 2012
    Assignees: Essilor International (Compagnie Generale d'Optique), International Business Machines Corporation
    Inventors: Heinz Schmid, Bruno Michel, Urs Kloter, Gerhard Keller, Jean-Paul Cano
  • Patent number: 8268546
    Abstract: A structure for pattern formation adapted for optically forming a pattern, characterized by comprising: a photocatalyst-containing layer provided on a substrate, the photocatalyst-containing layer containing a material of which the wettability is variable through photocatalytic action upon pattern-wise exposure.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: September 18, 2012
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Hironori Kobayashi, Manabu Yamamoto, Daigo Aoki, Hironori Kamiyama, Shinichi Hikosaka, Mitsuhiro Kashiwabara
  • Patent number: 8268545
    Abstract: The formation of a device using block copolymer lithography is provided. The formation of the device includes forming a block copolymer structure. The block copolymer structure includes a first polymer and a second polymer. The block copolymer structure also includes a first component deposited between adjacent blocks of the first polymer and a second component deposited between adjacent blocks of the second polymer. A template is developed by removing either the first and second polymers or the first and second components from the block copolymer structure. The formation of the device also includes lithographically patterning the device utilizing the block copolymer structure template. The device may be a data storage medium.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: September 18, 2012
    Assignee: Seagate Technology LLC
    Inventors: Shuaigang Xiao, Xiaomin Yang
  • Patent number: 8268536
    Abstract: Systems and methods of forming an electrode on a substrate are disclosed. The methods can include applying a solution including metal ions and metal nanomaterials to a surface of a substrate. The methods further can include exposing a selected portion of the solution with light having a wavelength capable of inducing reduction of the metal ions, wherein the selected portion corresponds to at least a portion of the electrode.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: September 18, 2012
    Assignee: Korea University Research and Business Foundation
    Inventor: Kwangyeol Lee
  • Patent number: 8263322
    Abstract: A method of forming a resist pattern that includes: applying a positive chemically amplified resist composition to a support to form a first resist film, exposing a region on a portion of the first resist film, performing a post exposure bake treatment and then performing developing to form a first resist pattern, and applying a negative chemically amplified resist composition to the support having the first resist pattern formed thereon, thereby forming a second resist film, exposing a region of the second resist film that includes the positions in which the first resist pattern has been formed, performing a post exposure bake treatment at a bake temperature that increases the solubility of the first resist film in an alkali developing solution and decreases the solubility of the second resist film in an alkali developing solution, and then performing developing to form a resist pattern.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: September 11, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Tomoyuki Ando
  • Patent number: 8263317
    Abstract: At least one hollow zone is formed in a stack of at least one upper layer and one lower layer. The upper layer is patterned to form at least a first hollow region passing through said upper layer. The first hollow region is extended by a second hollow region formed in the lower layer by etching through an etching mask formed on the patterned upper layer. The etching mask is formed by a resin layer, positively photosensitive to an optic radiation of a predetermined wavelength, exposed to the said optic radiation through the stack and developed. The lower and upper layers of the stack are respectively transparent and opaque to said predetermined wavelength so that the patterned upper layer acts as exposure mask for the resin layer.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: September 11, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Alain Fargeix, Brigitte Martin
  • Patent number: 8263323
    Abstract: A method of forming a fine pattern includes forming an organic guide layer on a substrate, forming a photoresist pattern on the organic guide layer, the photoresist pattern including a plurality of openings exposing portions of the organic guide layer, forming a material layer on the exposed portions of the organic guide layer and on the photoresist pattern, the material layer including block copolymers, and rearranging the material layer through phase separation of the block copolymers into a fine pattern layer, such that the fine pattern layer includes a plurality of first blocks and a plurality of second blocks arranged in an alternating pattern, the plurality of first blocks and the plurality of the second blocks having different repeating units of the block copolymers.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: September 11, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong Ki Yoon, Shi-yong Yi, Seok-hwan Oh, Kyoung-seon Kim, Sang Ouk Kim, Seung-hak Park
  • Patent number: 8263315
    Abstract: A pattern-forming method includes selectively exposing a resist layer formed using a positive-tone radiation-sensitive resin composition including a resin component and an acid generator. The resist layer is developed to form a first pattern. An uncrosslinked embedded section is formed adjacent the first the pattern using a pattern-forming resin composition including a polymer. The polymer has a carbon content higher than that of the resin component, does not include silicon atom in a molecule, and is crosslinkable due to an acid generated from the acid generator. The uncrosslinked embedded section is crosslinked in an area around an interface with the first pattern to form an array structure. The first pattern, a first crosslinked section, the uncrosslinked embedded section, and a second crosslinked section are repeatedly arranged in the array structure in this order. The first pattern and the uncrosslinked embedded section are removed to form a second pattern.
    Type: Grant
    Filed: June 13, 2010
    Date of Patent: September 11, 2012
    Assignee: JSR Corporation
    Inventor: Keiji Konno
  • Patent number: 8252151
    Abstract: In a layout method of a bridging electrode, the method includes the steps of: providing a substrate; forming a transparent electro-conductive layer on the substrate and the transparent electro-conductive layer having a plurality of neighboring patterned blocks; forming an alignment film layer on the substrate and the alignment film layer having a plurality of bridging grooves of a bridging insulation unit crossing between the patterned blocks; forming an electro-conductive layer on the substrate and the electro-conductive layer having a plurality of wires respectively disposed on the bridging grooves, wherein the wires of the electro-conductive layer being formed through an optical compensation mask in conjunction with at least one of over-exposure and over-development; and forming a protection layer on the substrate to enhance optical transmission and to protect the substrate, the transparent electro-conductive layer, the alignment film layer and the electro-conductive layer.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: August 28, 2012
    Inventor: Li-Li Fan
  • Patent number: 8247166
    Abstract: A double pattern is formed by coating a first positive resist composition onto a substrate, patternwise exposure to radiation, and development with alkaline developer to form a first resist pattern; applying heat and/or radiation to render the first resist pattern insoluble in a second solvent and in a second developer; coating a second resist composition on the first resist pattern, patternwise exposure to radiation, and development with second developer to form a second resist pattern. The resin in the first resist composition comprises recurring units of formula (1) wherein R1 is H, CH3 or CF3, m=1 or 2, n=0 or 1.
    Type: Grant
    Filed: August 28, 2009
    Date of Patent: August 21, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Katsuya Takemura, Tsunehiro Nishi, Jun Hatakeyama, Masaki Ohashi, Takeshi Kinsho
  • Publication number: 20120196772
    Abstract: A compound synthesis method includes bonding a first compound to a substrate to form a first film. A second film is formed on the first film using an acid-transfer composition including (A) a polymer that includes a structural unit shown by a following formula (1) and a structural unit shown by a following formula (2), (B) a photoacid generator shown by a following formula (3), and (C) a sensitizer shown by a following formula (4). The second film is exposed to remove the protecting group from the first compound under an exposed are of the second film. An acid generated in the exposed area of the second film is transferred to the first film. The second film after being exposed is removed. A second compound is bonded to the first compound from which the protecting group has been removed.
    Type: Application
    Filed: December 19, 2011
    Publication date: August 2, 2012
    Applicants: JSR Corporation, Samsung Electronics Co.,Ltd.
    Inventors: Hyojin YUN, Changeun YOO, Myung-Sun KIM, Soo-Kyung KIM, Kouji NISHIKAWA, Hirofumi GOTO, Hidetoshi MIYAMOTO
  • Patent number: 8227179
    Abstract: Techniques for manufacturing cross-structures of nanostructures, such as nanowires and carbon nanotubes are provided. In one embodiment, a method for manufacturing cross-structures of nanostructures include providing a substrate, patterning a first mask layer on the substrate, adsorbing first nanostructures onto surface regions of the substrate where the first mask layer does not exist, removing the first mask layer from the substrate, patterning a second mask layer on the substrate to which the first nanostructures are adsorbed, and adsorbing second nanostructures onto the surface regions of the substrate where the second mask layer does not exist, under conditions effective to manufacture cross-structures of nanostructures on the substrate.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: July 24, 2012
    Assignee: SNU R&DB Foundation
    Inventors: Seunghun Hong, Sung Young Park, Seon Namgung
  • Patent number: 8221963
    Abstract: A method for producing a fine structure includes: (a) forming a photosensitive film to cover a plurality of first convex portions formed in at least one surface of a substrate; (b) arranging liquid to cover the photosensitive film on the at least one surface of the substrate; (c) arranging a transparent parallel plate such that the parallel plate opposes the substrate via the liquid; (d) generating interference field by a laser beam to irradiate the interference field onto the photosensitive film via the parallel plate and the liquid; (e) removing the liquid and the parallel plate to develop the photosensitive film so as to form a photosensitive film pattern; and (f) etching the substrate using a mask of the photosensitive film pattern to form a plurality of fine convex portions smaller than the first convex portions on the at least one surface of the substrate.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: July 17, 2012
    Assignee: Seiko Epson Corporation
    Inventors: Jun Amako, Daisuke Sawaki
  • Patent number: 8211627
    Abstract: A method and to an apparatus for structuring a radiation-sensitive material are disclosed. The method can include using a dynamic mask to generate a first radiation pattern in a layer of the radiation-sensitive material, where the first radiation pattern has a thickness that is at most 50% of the thickness of the layer of the radiation-sensitive material. The method can also include using the dynamic mask to generate a second radiation pattern in the layer of the radiation-sensitive material. The dynamic mask can be configured to change its structure dynamically, and the first radiation pattern can be different from the second radiation pattern.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: July 3, 2012
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Heiko Feldmann
  • Patent number: 8187798
    Abstract: It is disclosed a method of forming fine patterns comprising: subjecting a substrate having photoresist patterns to a hydrophilic treatment, covering the substrate having photoresist patterns with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: May 29, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa
  • Patent number: 8187797
    Abstract: A template having a first recess pattern is brought into contact with a mask material formed on a substrate. The mask material with which the first recess pattern is filled is cured. A mask material pattern is formed on the substrate by releasing the template from the mask material. A resist pattern is formed to cover a part of the mask material pattern by forming a resist on the mask material pattern and selectively irradiating radiation onto the resist and thereafter developing the resist. The substrate is processed by using the mask material pattern and the resist pattern as a mask.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: May 29, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Eishi Shiobara, Shinichi Ito
  • Publication number: 20120122031
    Abstract: The present invention relates to a photoresist composition capable of negative development and a pattern forming method using the photoresist composition. The photoresist composition includes an imaging polymer and a radiation sensitive acid generator. The imaging polymer includes a first monomeric unit having a pendant acid labile moiety and a second monomeric unit containing a reactive ether moiety, an isocyanide moiety or an isocyanate moiety. The patterning forming method utilizes an organic solvent developer to selectively remove unexposed regions of a photoresist layer of the photoresist composition to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method are especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: November 15, 2010
    Publication date: May 17, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kuang-Jung Chen, Sen Liu, Wu-Song Huang, Wai-Kin Li
  • Patent number: 8178639
    Abstract: A polymer for forming an organic anti-reflective coating layer, which is soluble in alkali solutions so that an additional etching process of anti-reflective coating layer is not required, and a composition including the same are disclosed. The polymer for forming an organic anti-reflective coating layer has the following formula. Wherein, R1 is a hydrogen atom (H) or a methyl group (—CH3), R2 is a sulfur atom (S) or an oxygen atom (O), R3 is a group containing 1 to 20 carbon atoms and a terminal hydroxyl group, R4 is an alkylene group or a cycloalkylene group, and if necessary, a hetero atom is contained therein, and a, b and c independently represent weight % of repeating units constituting the polymer, and are 1 to 98 weight %, 1 to 98 weight %, and 1 to 98 weight %, respectively.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: May 15, 2012
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Hyun-Jin Kim, Jae-Hyun Kim, Hyo-Jung Roh, Man-Ho Han, Dong-Kyu Ju
  • Patent number: 8173347
    Abstract: A method for surface micropatterning includes forming on a surface containing a first polymer a first coating containing a second polymer having first functionalities capable of being converted to second functionalities by exposure to an acid. A second coating containing a photoacid generator is formed on the first coating. The second coating containing the photoacid generator is selectively irradiated in one or more regions thereof with radiation having a spatially varying internsity pattern to generate an acid in each irradiated region of the second coating. The acid converts the first functionalities of each region of the second polymer underlying a respective irradiated region of the second coating to second functionalities. A first molecular patterned surface having one or more regions of the first functionalities and one or more regions of the second functionalities is formed.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: May 8, 2012
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: Jeffrey T. Koberstein, Feng Pan, Kwangjoo Lee, Peng Wang
  • Publication number: 20120107583
    Abstract: Patterned substrates templates are provided, as well as methods comprising a combination of lithography and self-assembly techniques. The patterned substrates may comprise first and second patterns.
    Type: Application
    Filed: October 29, 2010
    Publication date: May 3, 2012
    Inventors: Shuaigang Xiao, XiaoMin Yang, Yuan Xu, Lei Wan
  • Publication number: 20120088188
    Abstract: A method of forming a pattern comprises diffusing an acid formed by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer having acid decomposable groups and attachment groups covalently bonded to the surface of the substrate and/or forming an interpolymer crosslink. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region on the underlayer, with the shape of the pattern. The photosensitive layer is removed, forming a self-assembling layer comprising a block copolymer having a first block with an affinity for the polar region, and a second block having less affinity for the polar region. The first block forms a domain aligned to the polar region, and the second block forms another domain aligned to the first. Removing either domain exposes a portion of the underlayer.
    Type: Application
    Filed: October 4, 2011
    Publication date: April 12, 2012
    Applicants: DOW GLOBAL TECHNOLOGIES LLC, ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventors: Peter Trefonas, Phillip Dene Hustad, Cynthia Pierre
  • Patent number: 8153351
    Abstract: Photolithography methods using BARCs having graded optical properties are provided. In an exemplary embodiment, a photolithography method comprises the steps of depositing a BARC overlying a material to be patterned, the BARC having a refractive index and an absorbance. The BARC is modified such that, after the step of modifying, values of the refractive index and the absorbance are graded from first values at a first surface of the BARC to second values at a second surface of the BARC. The step of modifying is performed after the step of depositing.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: April 10, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas I. Wallow, Jongwook Kye
  • Patent number: 8148053
    Abstract: To provide a method for manufacturing a substrate for making a microarray which will ensure the secure immobilization of a material in a site-selective manner at a low cost.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: April 3, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Wataru Kusaki, Takeshi Kinsho, Toshinobu Ishihara
  • Patent number: 8133664
    Abstract: Some embodiments include methods of forming patterns of openings. The methods may include forming spaced features over a substrate. The features may have tops and may have sidewalls extending downwardly from the tops. A first material may be formed along the tops and sidewalls of the features. The first material may be formed by spin-casting a conformal layer of the first material across the features, or by selective deposition along the features relative to the substrate. After the first material is formed, fill material may be provided between the features while leaving regions of the first material exposed. The exposed regions of the first material may then be selectively removed relative to both the fill material and the features to create the pattern of openings.
    Type: Grant
    Filed: March 3, 2009
    Date of Patent: March 13, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Gurtej S. Sandhu, John Smythe, Ming Zhang
  • Publication number: 20120058435
    Abstract: According to one embodiment, a pattern formation method contains: forming first guides by changing a surface energy of an underlayer material by transferring a pattern of a photomask onto the underlayer material by exposure, and forming second guides by changing the surface energy of the underlayer material between the first guides by diffraction of exposure light generated from the exposure; applying a block copolymer containing a plurality of types of polymer block chains onto the underlayer material; and causing any one of the polymer block chains to form a pattern in accordance with the first and second guides by microphase separation of the block copolymer by a heat treatment.
    Type: Application
    Filed: July 21, 2011
    Publication date: March 8, 2012
    Inventors: Yuriko SEINO, Yukiko Kikuchi
  • Patent number: 8129098
    Abstract: The invention relates to a process for forming a structure comprising (a) providing a transparent support; (b) forming a color mask on a first side of the transparent support; (c) applying a first layer comprising a deposition inhibitor material that is sensitive to visible light; (d) patterning the first layer by exposing the first layer through the color mask with visible light to form a first pattern and developing the deposition inhibitor material to provide selected areas of the first layer effectively not having the deposition inhibitor material; and (e) depositing a second layer of functional material over the transparent support; wherein the second layer of functional material is substantially deposited only in selected areas over the transparent support not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: March 6, 2012
    Assignee: Eastman Kodak Company
    Inventors: Lyn M. Irving, Diane C. Freeman, Peter J. Cowdery-Corvan, Cheng Yang, David H. Levy
  • Patent number: 8124318
    Abstract: It is disclosed an over-coating agent for forming fine patterns which is applied to cover a substrate having thereon photoresist patterns and allowed to shrink under heat so that the spacing between adjacent photoresist patterns is lessened, with the applied film of the over-coating agent being removed substantially completely to form or define fine trace patterns, further characterized by containing either a water-soluble polymer and an amide group-containing monomer or a water-soluble polymer which contains at least (meth)acrylamide as a monomeric component. Also disclosed is a method of forming fine-line patterns using any one of said over-coating agents. According to the invention, the thermal shrinkage of the over-coating agent for forming fine patterns in the heat treatment can be extensively increased, and one can obtain fine-line patterns which exhibit good profiles while satisfying the characteristics required of semiconductor devices.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: February 28, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa
  • Publication number: 20120045721
    Abstract: The invention can provide a method of processing a substrate using Double-Patterned-Shadow (D-P-S) processing sequences that can include (D-P-S) creation procedures, (D-P-S) evaluation procedures, and (D-P-S) transfer sequences. The (D-P-S) creation procedures can include deposition procedures, activation procedures, de-protecting procedures, sidewall angle (SWA) correction procedure, and Double Patterned (DP) developing procedures.
    Type: Application
    Filed: August 18, 2010
    Publication date: February 23, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Wallace P. Printz, Steven Scheer
  • Patent number: 8110321
    Abstract: A method for manufacturing an optical projection reticle employs a damascene process. First feature recesses are etched into a projection reticle mask plate which is transmissive or transparent. Then feature recesses are tilled with a radiation transmissivity modifying material comprising a partially transmissive material and/or a radiation absorber for absorbing actinic radiation. Sacrificial materials may be added to the recess temporarily prior to filling the recess to provide gaps juxtaposed with the material filling the recess. Thereafter, the sacrificial materials are removed. Then the projection mask is planarized leaving feature recesses filled with transmissivity modifying material, and any gaps desired. The projection mask is planarized while retained in a fixture holding it in place during polishing with a polishing tool and a slurry.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kevin S. Petrarca, Donald F. Canaperi, Mahadevaiyer Krishnan, Rebecca D. Mih, Steven Steen, Henry Grabarz, Michael S. Hibbs
  • Patent number: 8110322
    Abstract: The invention provides a method for forming a selective mask on a surface of a layer of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z (0?X?1, 0?Y?1, 0?Z?1), which is a method for forming a mask with a minute width suitable for microfabrication in nano-order. (1) An energy beam 4a, 4b is selectively irradiated onto a natural oxide layer 2 formed on the surface of the layer 1 of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z. (2) Of the natural oxide layer 2, parts other than parts onto which the energy beam 4a, 4b has been irradiated is removed by heating. (3) The natural oxide layer 2 of the parts onto which the energy beam 4a, 4b has been irradiated is partially removed by heating while alternatively carrying out a rise and fall in heating temperature.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: February 7, 2012
    Assignee: Riber
    Inventors: Naokatsu Sano, Tadaaki Kaneko
  • Patent number: 8105762
    Abstract: A pattern and method for forming a pattern includes providing a substrate on which a plurality of unit panels and etching object layers on the respective unit panel areas are formed, dividing the substrate into at least two or more areas, providing a cliché on which multiple grooves are formed, filling resist in the grooves, applying the resist filled in the groove of the cliché onto the etching object layer of the substrate by the divided area unit.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: January 31, 2012
    Assignee: LG Display Co., Ltd.
    Inventor: Yong-Sung Ham
  • Patent number: 8105753
    Abstract: A pattern clean-up for fabrication of patterned media using a forced assembly of molecules is disclosed. E-beam lithography is initially used to write the initial patterned bit media structures, which have size and positioning errors. Nano-sized protein molecules are then forced to assemble of on top of the bits. The protein molecules have a very uniform size distribution and assemble into a lattice structure above the e-beam patterned areas. The protein molecules reduce the size and position errors in e-beam patterned structures. This process cleans the signal from the e-beam lithography and lowers the noise in the magnetic reading and writing. This process may be used to fabricate patterned bit media directly on hard disk, or to create a nano-imprint master for mass production of patterned bit media disks.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: January 31, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Qing Dai, Dan Saylor Kercher, Huey-Ming Tzeng
  • Patent number: 8105763
    Abstract: A method is provided that enables the formation of multiple level plated products with large plating depth. A negative photoresist composition comprising (a) an alkali-soluble resin, (b) an acid generator, and (c) other components is used, and a plated product is formed by (A) a step of forming a layer of this negative photoresist composition, and then either heating or not heating, before conducting exposure; (B) a step of repeating the step (A) so that the step is performed a total of 2 or more times, thereby superimposing layers of the negative photoresist, and subsequently developing all of these layers simultaneously to form a multilayer resist pattern; and (C) a step of conducting plating treatment within this multilayer resist pattern.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: January 31, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yasuo Masuda, Yasushi Washio, Koji Saito
  • Publication number: 20120009526
    Abstract: A method of forming fine patterns comprises forming a first auxiliary layer having an acid diffusion rate on an underlying layer, forming a light-transmitting second auxiliary layer having a slower acid diffusion rate than the first auxiliary layer on the first auxiliary layer, exposing respective regions of the first and second auxiliary layers to generate acid in the exposed regions of the first and second auxiliary layers, diffusing the acid using a baking process so that diffusion of the acid is faster in the first auxiliary layer than in the second auxiliary layer, removing acid diffusion regions in the first and second auxiliary layers to form first and second auxiliary patterns, the second auxiliary pattern being wider width than the first auxiliary pattern, filling the removed regions of the first auxiliary layer with material for a hard mask, and removing the material for a hard mask exposed between the second auxiliary patterns to form hard mask patterns on sidewalls of the first auxiliary patterns.
    Type: Application
    Filed: November 12, 2010
    Publication date: January 12, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Dae Woo Kim
  • Publication number: 20120003582
    Abstract: A method and photoresist material for the patterning of integrated circuit (IC) components using ultra violet (UV) and extreme ultraviolet lithography (EUV) that includes providing a substrate, forming a first material layer over the substrate, forming a second material layer over the first material layer, the second material layer having a luminescent agent, and exposing one or more portions of the second material layer.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 5, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chien-Wei WANG, Chun-Ching HUANG
  • Publication number: 20110305995
    Abstract: A resin film forming method for forming a resin film on a substrate includes forming an intermediate layer on the substrate which includes an inorganic composition as a main component to chemically bond the resin film to be formed on the substrate to the substrate, carrying out a treatment on the substrate to remove an edge of the intermediate layer from an edge of the substrate, forming the resin film on the substrate by spin coating, chemically bonding the resin film to the substrate and hardening the resin film, and removing an edge of the resin film from the edge of the substrate by applying vibrations to the hardened resin film.
    Type: Application
    Filed: June 8, 2011
    Publication date: December 15, 2011
    Applicant: FUJITSU LIMITED
    Inventor: Tomomi SATO
  • Publication number: 20110305996
    Abstract: The disclosure relates to methods of beam pen lithography using a tip array having a plurality of transparent, elastomeric, reversibly-deformable tips coated with a blocking layer and apertures defined in the blocking layer to expose tip ends of the tips in the array. The tip array can be used to perform a photolithography process in which the tips are illuminated with a radiation that is channeled through the tips and out the apertures to expose a photosensitive substrate. Also disclosed are tip arrays formed of polymers and gels, apparatus including the tip arrays and radiation sources, and related apparatus for selectively masking tips in the tip array from radiation emitted from the radiation source.
    Type: Application
    Filed: February 18, 2010
    Publication date: December 15, 2011
    Applicant: NORTHWESTERN UNIVERSITY
    Inventors: Chad A. Mirkin, Gengfeng Zheng, Fengwei Huo
  • Publication number: 20110305882
    Abstract: The present disclosure is a method for forming a thin film pattern to form a micron-pattern and a flat display device having the same. The method for forming a thin film pattern includes the steps of forming first to third thin film layers on a substrate in succession, forming a first photoresist pattern on the third thin film layer, patterning the second and third thin film layers using the first photoresist pattern as a mask to form first and second thin film mask pattern having line widths different from each other, forming a second photoresist pattern at a region where the first and second thin film mask patterns do not overlap positioned between the first thin film layer and the second thin film mask pattern, removing the first and second thin film mask patterns, and patterning the first thin film layer using the second photoresist pattern as a mask.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 15, 2011
    Inventors: Jeong-Oh Kim, Jung-Il Lee, Kang-Il Kim, Jung-Ho Bang, Jung-Sun Beak
  • Patent number: 8076057
    Abstract: Methods for making extrusion dies using a LIGA process, a German acronym for lithography (Lithographie), electroplating (Galvanoformung), and molding (Abformung), are described. The resulting extrusion dies can be used, for example, for extrusion of cellular ceramic substrates, precision extrusion of optical fiber or optical fiber precursors, or other applications where profile extrusion requires high dimensional precision and/or has otherwise intricate patterns.
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: December 13, 2011
    Assignee: Corning Incorporated
    Inventors: Mircea Despa, Dale Russell Hess, Kenneth Richard Miller, Christine Marie Share
  • Patent number: 8071276
    Abstract: An exemplary method for making an alignment mark on a substrate includes the following steps. First, a substrate with a recess is provided. Second, a photoresist layer is formed on a surface of the substrate including in the recess. Third, the photoresist layer is exposed and developed to leave a body of remaining photoresist in the recess, with the body of remaining photoresist protruding above the surface of the substrate. Fourth, a metal layer is formed in an unfilled area of the recess and on the surface of the substrate, with the metal layer substantially surrounding the remaining photoresist. Finally, the remaining photoresist is removed to form an alignment mark in the metal layer on the substrate.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: December 6, 2011
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Sei-Ping Louh
  • Publication number: 20110275020
    Abstract: Methods of forming photoresist patterns may include forming a photoresist layer on a substrate, exposing the photoresist layer using an exposure mask, forming a preliminary pattern by developing the exposed photoresist layer and treating a surface of the preliminary pattern using a treatment agent that includes a coating polymer.
    Type: Application
    Filed: May 3, 2011
    Publication date: November 10, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Subramanya Mayya, Takahiro Yasue, Seok-hwan Oh, Yool Kang
  • Patent number: 8053179
    Abstract: A method for manufacturing a substrate for making a microarray wherein a monomolecular film for immobilizing a target molecule can be simply formed position-selectively in manufacture of the substrate for making the microarray is provided. A method for manufacturing a substrate for making a microarray, comprising, a step of forming a resist film on the substrate using a chemically amplified positive resist composition using a copolymer where a content of a monomer unit having a hydroxyl group is 5 mole % or less relative to total monomer units as a binder; a step of patterning the resist film; a step of forming a monomolecular film having a silicon oxide chain on the substrate having the patterned resist film; and subsequently a step of removing the resist film.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: November 8, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Wataru Kusaki, Takeshi Kinsho, Toshinobu Ishihara
  • Publication number: 20110269078
    Abstract: A method for patterning a substrate with extreme ultraviolet (EUV) radiation is provided. The method includes contacting a surface of the substrate with at least one surface modification agent that reacts with and bonds to the surface 402 of the substrate 401 to provide a modified surface. A layer of photoresist is formed on the modified surface, followed by exposing the layer of photoresist to a pattern of EUV radiation. The surface modification agent has a general formula: X-L-Z, where X is a leaving group; L is a linkage group including a substituted or un-substituted carbon chain having 1 to 20 carbons, a sulfur moiety, a silicon moiety, or combinations thereof; and Z is at least one of an acid functional group, a photoactive acid generator group or a halide.
    Type: Application
    Filed: April 30, 2010
    Publication date: November 3, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Benjamin M. Rathsack, Steven Scheer, Mark H. Somervell
  • Patent number: 8048617
    Abstract: A method for manufacturing a patterned thin-film layer according to one preferred embodiment includes the steps of: providing a substrate with a plurality of banks thereon, the plurality of banks defining a plurality of spaces therein for receiving ink therein, each of the banks having a top surface and a plurality of side surfaces adjacent to the top surface; irradiating the plurality of banks with UV light beams, wherein the UV light beams are obliquely incident on the top surfaces of the substrate such that a surface wettability of the ink on the top surfaces is lower than that of the side surfaces of the banks; applying ink into the spaces; and curing the ink so as to form a patterned thin-film layer on the substrate.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: November 1, 2011
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Yu-Ning Wang
  • Publication number: 20110262860
    Abstract: Dual tone photoresist formulations comprising a photoacid generator are described and employed in fabrication techniques, including methods of making structures on substrates, and more particularly, methods of making electronic devices (e.g. transistors and the like) on flexible substrates wherein two patterns are formed simultaneously in one layer of photoresist.
    Type: Application
    Filed: April 20, 2011
    Publication date: October 27, 2011
    Inventors: C. Grant Willson, Wei-Lun Kane Jen, Brandon Mark Rawlings, Jeffrey Ryan Strahan
  • Patent number: 8043799
    Abstract: The patterning method includes forming a synthetic resin layer on a substrate, providing a mold in which a predetermined pattern is formed and metal particles are distributed on the surface of the mold, contacting the mold having the predetermined pattern with the synthetic resin layer, transferring the pattern of the mold onto the synthetic resin layer to form a patterned synthetic resin layer, and forming an organic layer on the patterned synthetic resin layer.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: October 25, 2011
    Assignee: LG Display Co., Ltd.
    Inventor: Gee Sung Chae
  • Patent number: 8043798
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns thereon made of a photoresist composition which is sensitive to high energy light rays with wavelength of 200 nm or shorter or electron beam radiation, with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely. The present invention provides a method of forming fine patterns whereby fine patterns having pattern width or diameter of 100 nm or shorter and being excellent in uniformity (in-plane uniformity), etc. can be formed by ultrafine processing using high energy light rays with wavelength of 200 nm or shorter or electron beams.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: October 25, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tsuyoshi Nakamura, Tasuku Matsumiya, Kiyoshi Ishikawa, Yoshiki Sugeta, Toshikazu Tachikawa
  • Publication number: 20110250541
    Abstract: To provide a pattern forming method, which contains: forming a first resist pattern on a processing surface using a first resist composition; forming a coating layer using a coating material so as to cover a surface of the first resist pattern; applying a second resist composition over the first resist pattern above which the coating layer has been formed so as not to dissolve the first resist pattern with the second resist composition to thereby form a second resist film; and selectively exposing the second resist film to exposure light and developing the second resist film to thereby expose the first resist pattern to the air, as well as forming a second resist pattern in an area of the processing surface where the first resist pattern has not been formed.
    Type: Application
    Filed: June 24, 2011
    Publication date: October 13, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Miwa Kozawa, Koji Nozaki
  • Publication number: 20110236837
    Abstract: An antireflective coating compositions comprising (I) a silsesquioxane resin (II) a compound selected from photo-acid generators and thermal acid generators; and (III) a solvent wherein in the silsesquioxane resin contains a carboxylic acid forming group or a sulfuric acid forming group.
    Type: Application
    Filed: October 19, 2009
    Publication date: September 29, 2011
    Inventors: Peng-Fei Fu, Eric Moyer, Jason Suhr