Removal Of Imaged Layers Patents (Class 430/329)
  • Publication number: 20020102482
    Abstract: An apparatus and method for manufacturing and using a calibrated registration reference wafer in a semiconductor manufacturing facility. A reference reticle consisting of a 2-dimensional array of standard alignment attributes is exposed several times onto a photoresist coated semiconductor wafer using a photolithographic exposure tool. After the final steps of the lithographic development process the resist patterned wafer is physically etched using standard techniques to create a permanent record of the alignment attribute exposure pattern. The permanently recorded alignment attributes are measured for placement error using a conventional overlay metrology tool. The resulting overlay error data is used to generate a calibration file that contains the positions of the alignment attributes on the reference wafer. The reference wafer and calibration file can be used to determine the wafer stage registration performance for any photolithographic exposure tool.
    Type: Application
    Filed: December 7, 2001
    Publication date: August 1, 2002
    Inventors: Adlai Smith, Bruce McArthur, Robert Hunter
  • Patent number: 6423479
    Abstract: In one embodiment, the present invention relates to a method of processing a lithography mask, involving the steps of exposing a lithography substrate with actinic radiation through the lithography mask in a chamber; removing the lithography mask from the chamber, wherein the lithography mask contains carbon contaminants; and contacting the lithography mask with sulfur trioxide thereby reducing the carbon contaminants thereon.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: July 23, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Khoi A. Phan, Bharath Rangarajan, Bhanwar Singh, Sanjay K. Yedur, Bryan K. Choo
  • Patent number: 6423480
    Abstract: A remover composition obtained by adding 1 to 90% by weight of a cyclic urea compound represented by the following general formula (I): wherein, each of R1 and R2 independently represents a hydrogen atom, hydroxyl group, carboxyl group or alkyl group which may be substituted, and Z represents an oxygen atom or sulfur atom.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: July 23, 2002
    Assignee: Sumitomo Chemical Company, Limited
    Inventor: Naoki Ichiki
  • Publication number: 20020086243
    Abstract: A multilayer circuit board having air bridge crossover structures and an additive method for producing the same, wherein the circuit includes specially designed metallic fortifying layers to mechanically and/or electrically fortify the circuit.
    Type: Application
    Filed: December 1, 1998
    Publication date: July 4, 2002
    Inventors: DELIN LI, ACHYUTA ACHARI, ALICE DAWN ZITZMANN, ROBERT EDWARD BELKE, BRENDA JOYCE NATION, EDWARD MCLESKEY, MOHAN R. PARUCHURI, LAKHI NANDLAL GOENKA
  • Publication number: 20020081531
    Abstract: A method comprising introducing a photoimageable material on a substrate; developing the photoimageable material over an opening area, the photoimageable material over a first portion of the opening area developed to a first extent and the photoimageable material over a second portion of the opening area developed to a different second extent; removing developed photoimageable material from the opening area; and forming an opening in the substrate in the opening area.
    Type: Application
    Filed: December 27, 2000
    Publication date: June 27, 2002
    Inventor: Ajay Jain
  • Publication number: 20020081534
    Abstract: A method of reworking a photoresist layer. A silicon chip having an insulation layer, a bottom anti-reflection coating and a photoresist layer thereon is provided. The photoresist layer has already been light-exposed and developed. A wet etching operation is carried out to remove a large portion of the photoresist layer. A low-temperature plasma treatment incapable of transforming the anti-reflection coating structure is conducted to remove the hardened residual photoresist material. A new photoresist layer is formed over the bottom anti-reflection coating.
    Type: Application
    Filed: December 22, 2000
    Publication date: June 27, 2002
    Inventor: Chia-Chieh Yu
  • Publication number: 20020081532
    Abstract: A method including forming a photoimageable material on a substrate; developing the photoimageable material over an opening area, the photoimageable material over a first portion of the opening area developed to a first extent and the photoimageable material over a second portion of the opening area developed to a different second extent; removing developed photoimageable material from the opening area; and forming an opening in the substrate in the opening area.
    Type: Application
    Filed: June 7, 2001
    Publication date: June 27, 2002
    Inventor: Ajay Jain
  • Patent number: 6410417
    Abstract: A method of forming a metal interconnect structure and via plugs over a dielectric layer having a plurality of vias formed therein is disclosed. The method comprises the steps of: forming tungsten via plugs in the plurality of vias; depositing a metal layer over the dielectric layer and the plurality of tungsten via plugs; patterning and etching the metal layer using a photoresist layer to form the metal interconnect structure; removing the photoresist layer in an asher using a combination of oxygen plasma and water vapor, the ratio of oxygen plasma and water vapor being less than one; and performing a wet strip on the metal interconnect structure.
    Type: Grant
    Filed: November 5, 1998
    Date of Patent: June 25, 2002
    Assignee: ProMOS Technologies, Inc.
    Inventors: Nien-Yu Tsai, Hong-Long Chang, Chun-Wei Chen, Ming-Li Kung
  • Publication number: 20020076660
    Abstract: A method of forming a wiring pattern which includes the steps of: forming a resist pattern having a shrinkage-inhibiting effect on a substrate; releasing gas from the resist pattern by baking the resist pattern; film-forming an electrode material on the substrate and the resist pattern while the temperature of the substrate is kept lower than the baking temperature of the resist pattern; and removing the electrode material on the resist pattern by separating the resist pattern from the substrate.
    Type: Application
    Filed: November 17, 1999
    Publication date: June 20, 2002
    Inventors: YUJI TOYOTA, YOSHIHIRO KOSHIDO, KEI FUJIBAYASHI, RYOICHIRO TAKAHASHI, TADAYUKI OKAWA
  • Patent number: 6406836
    Abstract: A method of stripping a photoresist layer comprising applying a re-coating material on the photoresist layer which extends through and fills openings in a first layer on which the photoresist layer is disposed, ashing the stack comprised of the photoresist layer and the re-coating material, and removing such re-coating material as remains in the openings in the first layer after the ashing.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: June 18, 2002
    Assignee: Axcelis Technologies, Inc.
    Inventors: Robert Mohondro, Qingyuan Han, Ivan Berry, Mahmoud Dahimene, Stuart Rounds
  • Patent number: 6403289
    Abstract: The invention relates to a developer for photosensitive polyimide resin compositions, comprising an alkaline aqueous solution containing a basic compound (A) represented by a formula (1): wherein X+ is N+ or P+, R is an alkyl group having 1 to 20 carbon atoms or an aryl group having 6 to 10 ring forming carbon atoms, Y− is a monovalent anion, m is 0 or 1, n is 3 or 4, and m+n is 4, with the proviso that when m is 0, n is 4, and R is an alkyl group, the total number of carbon atoms of 4 alkyl groups is at least 13, or when m is 1, n is 3, and R is an alkyl group, the total number of carbon atoms of 3 alkyl groups is at least 6.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: June 11, 2002
    Assignees: Nippon Zeon Co., Ltd., Fujitsu Limited
    Inventors: Akira Tanaka, Kei Sakamoto, Yasuhiro Yoneda, Kishio Yokouchi
  • Patent number: 6403544
    Abstract: The invention is a combination of at least one dense phase fluid and at least one dense phase fluid modifier which can be used to contact substrates for electronic parts such as semiconductor wafers or chips to remove photoresist materials which are applied to the substrates during manufacture of the electronic parts. The dense phase fluid modifier is one selected from the group of cyclic, aliphatic or alicyclic compounds having the functional group: wherein Y is a carbon, oxygen, nitrogen, phosphorus or sulfur atom or a hydrocarbon group having from 1 to 10 carbon atoms, a halogen or halogenated hydrocarbon group having from 1 to 10 carbon atoms, silicon or a fluorinated silicon group; and wherein R1 and R2 can be the same or different substituents; and wherein, as in the case where X is nitrogen, R1 or R2 may not be present.
    Type: Grant
    Filed: July 21, 2000
    Date of Patent: June 11, 2002
    Assignee: The Regents of the University of California
    Inventors: Leisa B. Davenhall, James B. Rubin
  • Publication number: 20020068244
    Abstract: The present invention is directed toward effective photoresist stripping compositions that are less corrosive and do not cause skin irritation. One form of the present invention is a composition useful as a photoresist remover that includes an alkylene carbonate, and one or more additional components chosen from the group that includes alkyl hydrogen peroxides, hydroxyalkyl ureas, urea-hydrogen peroxides, N-substituted morpholines and alcohols. Another form of the present invention is a composition for removing photoresist from a surface that includes an N-substituted morpholine.
    Type: Application
    Filed: September 5, 2001
    Publication date: June 6, 2002
    Applicant: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Edward T. Marquis, Wheeler C. Crawford
  • Patent number: 6399282
    Abstract: A method for forming a conductive pattern includes: applying a photosensitive conductive paste to a support 1 to thereby form a film 2, which paste contains an organic binder having an acidic functional group, a photosensitive organic component, a multivalent metallic powder, and a mono-ol compound having a boiling point of about 178° C. or more, an anion-adsorbing material and/or a thixotropic agent; exposing and developing the film 2 to thereby form conductive patterns 3a and 3b; and transferring the conductive patterns 3a and 3b, which are formed on the support 1, onto a ceramic green sheet 6. The invention also discloses a method for producing a ceramic multi-layer substrate.
    Type: Grant
    Filed: February 16, 2000
    Date of Patent: June 4, 2002
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Masahiro Kubota, Michiaki Iha, Shizuharu Watanabe
  • Publication number: 20020061470
    Abstract: A method of utilizing a multilayer photoresist to form contact holes and/or conductors utilizing a dual damascene process includes utilizing layered photoresists. A contact in a conductive line can be formed in a single deposition step or in a two-stage deposition step. Image layers can remain as part of the interconnect structure or be removed by a polishing technique. The process can be utilized for any conductive structures provided above a substrate of an integrated circuit.
    Type: Application
    Filed: June 19, 2001
    Publication date: May 23, 2002
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Christopher F. Lyons, Marina V. Plat, Scott A. Bell
  • Patent number: 6391515
    Abstract: This invention discloses a manufacturing process for preparing sol-gel optical waveguides comprising the steps of solution preparation, an optical waveguide photoresist module process, and optical waveguide molding and sintering. The solution is prepared by mixing water and alcohol to form an alcoholic solution with a properly adjusted pH value followed by mingling with tetraethylorthosilicate (TEOS) at room temperature. The optical waveguide photoresist module process comprises the steps of soft baking, exposure, development, washing by deionized water, drying by a nitrogen gun, and hard baking. The optical waveguide molding and sintering comprises the steps of spinning, sintering, and photoresist module removal.
    Type: Grant
    Filed: May 15, 2000
    Date of Patent: May 21, 2002
    Assignees: Industrial Technology Research Institute, National Tsing Hua University
    Inventors: Jung-Chieh Su, Chien-Kang Kao, I-Nan Lin, Chuen-Horng Tsai, Cheng-Chung Chi, Yung-Sheng Liu
  • Publication number: 20020058210
    Abstract: The fluorine-containing epoxy resin composition of the present invention comprises a fluorine-containing epoxy resin having in one molecule at least one perfluoroalkyl group having 6 to 12 carbon atoms and at least two alicyclic epoxy groups, and a cationic polymerization catalyst.
    Type: Application
    Filed: March 8, 1999
    Publication date: May 16, 2002
    Inventors: HIROMICHI NOGUCHI, AKIHIKO SHIMOMURA, ISAO IMAMURA, TAMAKI SATO
  • Patent number: 6383724
    Abstract: An improved method of photoresist removal is disclosed in which a treating solution of ozone and bicarbonate or other suitable radical scavengers is used to treat a substrate for use in an electronic device. The method is particularly well suited to photoresist removal where certain metals such as aluminum, copper and oxides thereof are present on the surface of the substrate. The method is also well suited to the removal of other organic materials as well.
    Type: Grant
    Filed: April 18, 2000
    Date of Patent: May 7, 2002
    Assignee: FSI International, Inc.
    Inventors: Lawrence E. Carter, Steven L. Nelson
  • Publication number: 20020051914
    Abstract: An alignment method for photolithography, especially for forming an alignment marker on a substrate after ion implantation. A substrate that includes a device region and an alignment mark region is provided. A first patterned photoresist layer is formed over the substrate. The first patterned photoresist layer includes an alignment marker within the alignment mark region and an ion implantation pattern within the device region. Using the first patterned photoresist layer as a mask, an ion implantation is carried out to form a plurality of doped regions. A second patterned photoresist layer that exposes the alignment marker is formed over the ion-implant pattern of the first patterned photoresist layer. Using the alignment marker as a mask, the substrate is etched to form a plurality of recess regions.
    Type: Application
    Filed: December 11, 2000
    Publication date: May 2, 2002
    Inventor: Ching-Yu Chang
  • Patent number: 6379870
    Abstract: The invention provides a process for monitoring the quality of via or trench formation in the production of a semiconductor device. More particularly, the invention pertains to a process for detecting side wall oxidation of low dielectric constant materials during the formation of vias or trenches in dielectrics. At least one via and/or trench is cleaved and contacted with a solvent to remove partially oxidized portions of dielectrics on the side walls, enabling defects to be visually inspected.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: April 30, 2002
    Assignee: Honeywell International Inc.
    Inventor: Jude A. Dunne
  • Patent number: 6379875
    Abstract: Disclosed are compositions useful for the pretreatment of polymeric material to be removed from substrates, such as electronic devices. The compositions of the present invention are particularly suitable for pretreating polymer residues from plasma etch processes. Also disclosed are methods of removing such pretreated polymeric material.
    Type: Grant
    Filed: May 25, 2001
    Date of Patent: April 30, 2002
    Assignee: Shipley Company, LLC
    Inventor: John Cheung-Shing Chu
  • Publication number: 20020048731
    Abstract: A method of removing a photoresist or a photoresist residue from a semiconductor substrate is disclosed. The semiconductor substrate with the photoresist or the photoresist residue on a surface of the semiconductor substrate is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a stripper chemical are introduced to the pressure chamber. The supercritical carbon dioxide and the stripper chemical are maintained in contact with the photoresist or the photoresist residue until the photoresist or the photoresist residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented.
    Type: Application
    Filed: September 3, 1999
    Publication date: April 25, 2002
    Inventor: WILLIAM H MULLEE
  • Publication number: 20020043541
    Abstract: A photoresist developing nozzle, a photoresist developing apparatus and a photoresist developing method capable of effecting uniform development are provided even in the case of a large diameter wafer. A photoresist nozzle is characterized in comprising a nozzle body (8) having a plurality of small chambers (8A to 8E), developer supply flow passages (14A to 14E) for supplying developer to respective small chambers (8A to 8E), developer discharge sections (10) for discharging developer supplied from the developer supply flow passages (14A to 14E) onto the wafer W. The photoresist developing apparatus has the photoresist developing nozzle and the photoresist developing method uses the photoresist developing nozzle.
    Type: Application
    Filed: March 26, 2001
    Publication date: April 18, 2002
    Inventor: Sachiko Yabe
  • Patent number: 6372415
    Abstract: A resist developer comprising (1) a basic organic compound A and (2) a salt C of (a) a basic compound A′ and (b) an organic compound B capable of forming a salt together with said basic compound A′, wherein said basic compound A′ is the same or different from said basic organic compound A, and wherein said resist developer is prepared by combining (1) and (2), or by reacting an excess amount of said basic organic compound A with said organic compound B.
    Type: Grant
    Filed: October 28, 1998
    Date of Patent: April 16, 2002
    Assignee: Kao Corporation
    Inventors: Shogo Nomoto, Akimitsu Sakai, Kozo Kitazawa
  • Patent number: 6372414
    Abstract: The present invention relates to a process for providing a pattern on a substrate for use in a metal lift-off process, the process comprising: 1) coating a substrate with a liquid positive photoresist; 2) soft baking the coated substrate; 3) contacting the substrate with an aqueous alkaline developer containing from about 0.005 volume percent to about 0.05 volume percent of an alkylene glycol alkyl ether; 4) placing a patterned mask over the substrate; 5) exposing the substrate through the mask; 6) post exposure baking the substrate; 7) optionally, flood exposing the substrate; and 8) developing the substrate with an aqueous alkaline developer. The invention also relates to a novel developer solution of an ammonium hydroxide containing from about 0.005 volume percent to about 0.5 volume percent of an alkylene glycol alkyl ether and to a process for producing such a novel developer solution.
    Type: Grant
    Filed: March 12, 1999
    Date of Patent: April 16, 2002
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Randy D. Redd, Ralph R. Dammel, John P. Sagan, Mark A. Spak
  • Patent number: 6372410
    Abstract: A resist stripping composition contains 0.001 to 0.5% by weight of a fluorine compound, 50 to 99% by weight of an ether solvent and the balance being substantially water. With such a specific content range of the ether solvent, the resist stripping composition shows reduced corrosive properties when diluted with water in the rinsing step as well as shows complete removal of resist residues without causing corrosion of wiring materials and substrate materials.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: April 16, 2002
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kazuto Ikemoto, Kojiro Abe, Tetsuo Aoyama
  • Publication number: 20020042029
    Abstract: A thin film to be milled is formed on a substrate 1, and thereafter, a polymethylglutarimide layer and a photoresist layer are coated. Then, the photoresist layer is exposed and developed via a given mask, to form a pre-resist pattern. Then, ashing treatment is performed for the pre-resist pattern to a narrowed resist pattern. Subsequently, the thin film to be milled is milled via the resist pattern to obtain a patterned thin film.
    Type: Application
    Filed: October 1, 2001
    Publication date: April 11, 2002
    Applicant: TDK Corporation
    Inventors: Akifumi Kamijima, Hisayoshi Watanabe
  • Publication number: 20020039705
    Abstract: It is an object to provide a stamper manufacturing method capable of shortening a time taken for manufacturing a stamper without requiring special equipment such as a dry etching device. The stamper manufacturing method comprises the steps of forming a photoresist layer (6) on one of surfaces of a conductive substrate (1), exposing a desirable portion of the photoresist layer (6), developing the photoresist layer (6), forming an electroforming body (8) on the substrate (1) in a portion from which the photoresist layer (6) is removed at the developing step, and carrying out washing to remove the photoresist layer (6) from the substrate (1).
    Type: Application
    Filed: September 24, 2001
    Publication date: April 4, 2002
    Inventor: Takayuki Asukata
  • Publication number: 20020037479
    Abstract: Alkaline photoresist stripping compositions containing reducing agent to reduce or inhibit metal corrosion. Reducing agents include compounds containing reactive multiple bonds, hydrazine and derivatives thereof, oximes, hydroquinone, pyrogallol, gallic acid and esters thereof, tocopherol, 6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylic acid, BHT, BHA, 2,6-di-tert-butyl-4-hydroxymethyl-phenol, thiols, salicylaldehyde, 4-hydroxybenzaldehyde and glycol aldehyde dialkylacetals.
    Type: Application
    Filed: October 2, 2001
    Publication date: March 28, 2002
    Applicant: Mallinckrodt Baker, Inc.
    Inventors: George Schwartzkopf, Geetha Surendran
  • Patent number: 6361929
    Abstract: The present invention relates to a method of removing a photo-resist layer from a semiconductor wafer. The semiconductor wafer comprises an inter-metal dielectric layer (IMD), and a photo-resist layer positioned on the IMD. The method comprises performing a dry cleaning process by injecting a nitrogen-containing gas into an oxygen-free environment and utilizing a plasma reaction to remove most of the photo-resist layer, and performing a wet cleaning process to completely remove the photo-resist layer.
    Type: Grant
    Filed: August 13, 1999
    Date of Patent: March 26, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Hsein-Ta Chung, Yi-Yu Hsu, Tong-Yu Chen, Tri-Rung Yew
  • Patent number: 6358676
    Abstract: An improved method for reworking photoresist is provided for decreasing cycle time of photoresist reworking process. A semiconductor substrate with an underlying layer is provided for patterning. A photoresist pattern is formed on the underlying layer. A photoresist reworking process is performed after an after-development-inspection (ADI) is performed. The photoresist reworking method comprises the following steps. The semiconductor substrate is placed in organic stripper for removing the most portion of the photoresist pattern. Subsequently, the semiconductor substrate is placed in a single-wafer processor and an UV/O3 dry ashing is then performed to remove completely the residual photoresist pattern on the underlying layer. A new photoresist layer is deposited on the underlying layer after the photoresist pattern removed completely.
    Type: Grant
    Filed: January 14, 2000
    Date of Patent: March 19, 2002
    Assignee: Mosel Vitelic Inc.
    Inventor: Shu-Ching Wu
  • Patent number: 6355400
    Abstract: Disclosed are methods for forming a resist pattern which solve a problem caused by halation and interference phenomena due to reflected light from the substrate. A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper interference film for the exposure light and a lower film having higher exposure light absorbance than the upper film and functions as a light shielding film. A third method forms between the substrate and resist film a two-layer anti-reflective film consisting of a lower film that reflects exposure light and an upper film that is an interference film for the exposure light.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: March 12, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Patent number: 6352816
    Abstract: Printing and printed circuit board members and a method for producing printing member from a member is provided. The printing member includes a topmost protective layer, an IR ablatable layer, a substrate and a wash-off layer. The wash-off layer is washed with a solvent after imagewise ablation of the topmost protective layer so as to expose the substrate, so that the printing member is produced.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: March 5, 2002
    Assignee: CreoScitex Corporation Ltd.
    Inventors: Murray Figov, Serge Steinblatt, Narda Ben-Horin
  • Patent number: 6352817
    Abstract: The present invention relates to a method for mitigating T-tops and/or stringers and/or crusts in a structure. A photoresist layer of the structure is exposed. The structure further includes an underlayer under the photoresist layer, and a substrate under the underlayer. A chemical mechanical polishing process is employed to remove a predetermined thickness of the photoresist layer. An underlayer etch is performed to remove select portions of the underlayer.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: March 5, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bharath Rangarajan, Bhanwar Singh, Steven Avanzino
  • Publication number: 20020018965
    Abstract: A method of removing photoresist material from a semiconductor substrate includes providing a semiconductor substrate having a patterned photoresist mask. A layer comprised of polymer material is formed over the patterned photoresist mask. The layer comprised of polymer material and a portion of the patterned photoresist mask are then removed. The layer comprised of polymer material is preferably formed by introducing a process gas into a plasma environment and is preferably formed with less thickness in a low aspect ratio area relative to a high aspect ratio area.
    Type: Application
    Filed: September 6, 2001
    Publication date: February 14, 2002
    Inventors: Vahid Vahedi, Yosias Melaku
  • Publication number: 20020012853
    Abstract: Apparatus and methods are disclosed for inscribing a pattern on a reticle blank to produce a lithography reticle. As a reticle blank is inscribed using a charged particle beam (e.g., electron beam), some of the incident charged particles pass through the reticle blank and are backscattered from underlying structure (e.g., from a stage used to hold the reticle blank during inscription). These backscattered particles reduce the pattern resolution on the reticle. The present apparatus and methods reduce the number of backscattered particles re-entering the reticle blank, thereby improving pattern resolution.
    Type: Application
    Filed: July 18, 2001
    Publication date: January 31, 2002
    Applicant: Nikon Corporation
    Inventors: Wakako Suganuma, Sumito Shimizu, Atsushi Yamada, Shohei Suzuki, Hajime Yamamoto
  • Publication number: 20020012882
    Abstract: Resist residues, which is formed in a process of forming Al interconnections, are removed through use of a single chemical. A chemical which contains an organic acid or a salt thereof and water and which has a pH below 8 is used as a treatment for removing resist or resist residues. The chemical may be used in a process in which Al, W, Ti, TiN, and SiO2 are exposed on the surface of a wafer after etching of an Al interconnection; in a process in which Al, W, Ti, TiN, and SiO2 are exposed on the surface of a wafer after etching a hole reaching an Al interconnection in an dielectric layer; in a process in which Cu is exposed on the surface of a semiconductor wafer after dry-etching of a Cu interconnection or etching of an interlayer dielectric film laid on a Cu interconnection; and in a process in which metal material such as W, WN, Ti, or TiN; poly-Si; SiN; and SiO2 are exposed on the surface of a wafer after etching of a metal gate.
    Type: Application
    Filed: December 4, 2000
    Publication date: January 31, 2002
    Applicant: Mitsubishi Denki Kabushiki Kaisha and Kao Corporation
    Inventors: Seiji Muranaka, Itaru Kanno, Mami Shirota, Junji Kondo
  • Publication number: 20020009674
    Abstract: A photoresist stripping composition comprises (1) a nitrogen-containing organohydroxyl compound, (2) an alkylene glycol monoalkyl ether represented by the general formula: HO—(CpH2pO)q—R, wherein R is C1-C4 alkyl, p is 2 or 3, and q is 1, 2 or 3, (3) sugar or sugar alcohol, (4) a phosphorus-containing compound and (5) water. The photoresist stripping composition easily removes photoresist films on the inorganic substrate, and patterned photoresist films and photoresist residues remaining after etching and photoresist residues in a short period of time without corroding semiconductive materials, circuit-forming materials, insulating materials, etc.
    Type: Application
    Filed: March 28, 2000
    Publication date: January 24, 2002
    Inventors: Masahiro Nohara, Yukihiko Takeuchi, Hisaki Abe, Taketo Maruyama, Tetsuo Aoyama
  • Publication number: 20020009673
    Abstract: Laser-imageable flexographic printing plates and a method of making same are disclosed. A thin polymeric film doped with a UV absorber is laminated to a photopolymer layer. The film is ablated from the photopolymer using a laser operating at a selected wavelength to create an in situ negative. The resulting negative can be subjected to typical UV flood exposure and development.
    Type: Application
    Filed: July 3, 2001
    Publication date: January 24, 2002
    Applicant: Polyfibron Technologies, Inc.
    Inventors: Michael Wen-Chein Yang, Rustom Sam Kanga, Alvin Varnard Randall
  • Patent number: 6340559
    Abstract: Provided herein are developer solutions useful in producing semiconductor-based circuit elements or precursors thereof, which contain tris-(2-hydroxyethyl)methylammonium hydroxide. Developers according to the invention are either aqueous or alcoholic solutions of tris-(2-hydroxyethyl)methylammonium hydroxide which preferably further comprise a stabilizer. Through use of the compositions provided herein, greatly reduced levels of volatile amines are released during the developing process which lessens the burden on clean room atmosphere purification equipment owing to lessened airborne impurities.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: January 22, 2002
    Assignee: Huntsman Petrochemical Corporation
    Inventors: Wei-Yang Su, Stephen Lee Sjoberg, Wheeler Conrad Crawford
  • Patent number: 6337174
    Abstract: In the fabrication of semiconductor devices, a method of forming a fine pattern on a semiconductor substrate includes the steps of exposing and developing a photoresist deposited on a film of a semiconductor substrate in order to remove selected portions of the photoresist, etching portions of the film left exposed when the selected portions of the photoresist are removed, and subsequently removing any of the photoresist remaining on the semiconductor substrate with dimethylacetamide, or a combination of monoethanolamine and dimethylsulfoxide. Such stripping solutions are capable of removing photoresists in the Deep-UV group as well as the conventionally used photoresists in the I-line group.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: January 8, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mi-sook Jeon, Chun-deuk Lee, June-ing Gil, Pil-kwon Jun
  • Publication number: 20020000424
    Abstract: A substrate with a coating film formed thereon is covered with a cover member on the side of its principal surface. A solvent is supplied from the top of the cover member through a plurality of supply holes formed at predetermined positions of the cover member so that an unnecessary film portion of the coating film in a processed region is dissolved by the solvent and removed. In an unprocessed region except the processed region, a gap between an inner surface of the cover member and the surface of the substrate has a size such that temperature distribution is not caused in the coating film formed on the surface of the substrate under the influence of heat transfer from the cover member.
    Type: Application
    Filed: August 24, 2001
    Publication date: January 3, 2002
    Applicant: HOYA CORPORATION
    Inventor: Mitsuaki Hata
  • Publication number: 20020001780
    Abstract: Disclosed are methods for the reduction of defects during the manufacture of electronic devices. Also disclosed are electronic devices having reduced numbers of defects.
    Type: Application
    Filed: February 26, 2001
    Publication date: January 3, 2002
    Applicant: Shipley Company, L.L.C.
    Inventor: Joseph F. Lachowski
  • Publication number: 20020001781
    Abstract: A TMAH developer is applied to a to-be-processed substrate that has an organic resist film formed thereon and having an LSI pattern created by exposure, thereby developing the resist pattern, followed by rinsing processes in which, while the substrate is being rotated at 500 rpm, ozone water containing 3-ppm ozone gas is applied to the substrate for fifteen seconds, thereby decomposing organic matter sticking to the exposed surface of the substrate, and then hydrogen water containing 1.2-ppm hydrogen gas is applied to the substrate for fifteen seconds, with the substrate rotated at 500 rpm, thereby removing the decomposed organic matter from the substrate.
    Type: Application
    Filed: June 29, 2001
    Publication date: January 3, 2002
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tatsuhiko Ema, Shinichi Ito
  • Publication number: 20010051318
    Abstract: Disclosed are compositions useful for the pretreatment of polymeric material to be removed from substrates, such as electronic devices. The compositions of the present invention are particularly suitable for pretreating polymer residues from plasma etch processes. Also disclosed are methods of removing such pretreated polymeric material.
    Type: Application
    Filed: May 25, 2001
    Publication date: December 13, 2001
    Applicant: Shipley Company, L.L.C. of Marlborough, Massachusetts
    Inventor: John Cheung-Shing Chu
  • Patent number: 6326130
    Abstract: Alkaline photoresist stripping compositions containing reducing agent to reduce or inhibit metal corrosion. Reducing agents include compounds containing reactive multiple bonds, hydrazine and derivatives thereof, oximes, hydroquinone, pyrogallol, gallic acid and esters thereof, tocopherol, 6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylic acid, BHT, BHA, 2,6-di-tert-butyl-4-hydroxymethyl-phenol, thiols, salicylaldehyde, 4-hydroxybenzaldehyde and glycol aldehyde dialkylacetals.
    Type: Grant
    Filed: October 7, 1993
    Date of Patent: December 4, 2001
    Assignee: Mallinckrodt Baker, Inc.
    Inventors: George Schwartzkopf, Geetha Surendran
  • Patent number: 6323121
    Abstract: A method is described for cleaning freshly etched dual damascene via openings and preparing them for copper fill without damage or contamination of exposed organic or other porous low-k insulative layers. The method is entirely dry and does not expose the porous materials to contamination from moisture or solvents. The method is effective for removing all traces of residual polymer deposits from an in-process substrate wafers after via or damascene trench etching. The method employs an in-situ three-step treatment comprising a first step of exposing the electrically biased substrate wafer to a O2/N2 ashing plasma to remove photoresist and polymers, a second step immediately following the first step of remove silicon nitride etch stop layers, and a final step of treating the wafer with H2/N2 to remove copper polymer deposits formed during nitride removal. The H2/N2 plasma is capable of removing the difficult polymer residues which are otherwise only removable by wet stripping procedures.
    Type: Grant
    Filed: May 12, 2000
    Date of Patent: November 27, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jen-Cheng Liu, Chao-Cheng Chen, Li-Chih Chao, Chia-Shiung Tsai, Ming-Huei Lui
  • Patent number: 6316169
    Abstract: A method of removing photoresist material from a semiconductor substrate includes providing a semiconductor substrate having a patterned photoresist mask. A layer comprised of polymer material is formed over the patterned photoresist mask. The layer comprised of polymer material and a portion of the patterned photoresist mask are then removed. The layer comprised of polymer material is preferably formed by introducing a process gas into a plasma environment and is preferably formed with less thickness in a high aspect ratio area relative to a low aspect ratio area.
    Type: Grant
    Filed: June 25, 1999
    Date of Patent: November 13, 2001
    Assignee: Lam Research Corporation
    Inventors: Vahid Vahedi, Yosias Melaku
  • Publication number: 20010038976
    Abstract: The present invention provides a rinsing solution for lithography with which finely processed parts of a resist pattern can be well rinsed without corroding a metallic film made of Al, Al—Si, Al—Si—Cu, etc. and which is economically advantageous and has a high safety; and a method for processing a substrate with the use of the same. The rinsing solution contains at least one selected from the group consisting of ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether and ethyl lactate.
    Type: Application
    Filed: June 11, 2001
    Publication date: November 8, 2001
    Inventors: Masahito Tanabe, Kazumasa Wakiya, Masakazu Kobayashi, Toshimasa Nakayama
  • Publication number: 20010035343
    Abstract: The invention provides a fine pattern forming method in which a pattern interval of a resist pattern is narrowed, and a fine pattern forming material can be certainly formed on a surface of the resist pattern. In the method, a first resist layer containing a material generating acid by heating or light irradiation is coated on a substrate, is exposed through a pattern, and is developed. A developing solution is washed by a washing solution to form a first resist frame, and in a state where the washing solution is adhered to the substrate, a fine pattern forming material containing a material, which is cross-linked by the existence of acid, is coated on the substrate. Acid is generated in the first resist frame by heating or light irradiation, and the first resist frame is covered with a cross-linked layer generated on an interface between the first resist frame and the fine pattern forming material.
    Type: Application
    Filed: April 6, 2001
    Publication date: November 1, 2001
    Applicant: TDK Corporation
    Inventor: Akifumi Kamijima