Removal Of Imaged Layers Patents (Class 430/329)
  • Patent number: 6660455
    Abstract: This invention provides a pattern formation material for electron beam lithography, which contains an alkali-soluble resin, a photoacid generator, and dissolution inhibiting groups, and also provides a pattern formation method and exposure mask fabrication method using the material. As the dissolution inhibiting groups, this invention uses a first dissolution inhibiting group which increases the sensitivity of the pattern formation material when the material is left to stand in a vacuum after an electron beam irradiation, and a second dissolution inhibiting group which decreases the sensitivity under the same condition. In this invention, the ratio of the first dissolution inhibiting group to the second dissolution inhibiting group is so adjusted that the size of an alkali-soluble portion, which is made soluble in an alkali solution by an electron beam irradiation, is substantially held constant independently of the standing time in a vacuum.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: December 9, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masamitsu Itoh, Takehiro Kondoh
  • Patent number: 6656666
    Abstract: The invention relates generally to photolithographic techniques, and particularly, but not by way of limitation, to a method for preventing the collapse of the image pattern during the stage of drying the image. The invention also relates to structures fabricated using the inventive method.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: December 2, 2003
    Assignee: International Business Machines Corporation
    Inventors: John P. Simons, Kenneth J. McCullough, Wayne M. Moreau, Charles J. Taft
  • Publication number: 20030219683
    Abstract: A process is described for trimming photoresist patterns during the fabrication of integrated circuits for semiconductor devices and MEMS devices. A combination of a low temperature (<20° C.), high density oxygen and argon plasma and intense UV radiation is used to simultaneously trim and harden a photoresist linewidth in an ICP chamber. As an alternative, a UV hardening step can be performed in a flood exposure tool prior to the ICP plasma etch. Another option is to perform the argon plasma treatment first to harden the resist and then in a second step apply an oxygen plasma to trim the photoresist. Vertical and horizontal etch rates are decreased in a controllable manner which is useful for producing gate lengths in MOS transistors of less than 100 nm. The process can also be used to controllably increase a space width in a photoresist feature.
    Type: Application
    Filed: May 23, 2002
    Publication date: November 27, 2003
    Applicant: Institute of Microelectronics.
    Inventors: Ranganathan Nagarajan, Shajan Mathew, Lakshmi Kanta Bera
  • Patent number: 6653058
    Abstract: A method of removing photoresist material from a semiconductor substrate includes providing a semiconductor substrate having a patterned photoresist mask. A layer comprised of polymer material is formed over the patterned photoresist mask. The layer comprised of polymer material and a portion of the patterned photoresist mask are then removed. The layer comprised of polymer material is preferably formed by introducing a process gas into a plasma environment and is preferably formed with less thickness in a low aspect ratio area relative to a high aspect ratio area.
    Type: Grant
    Filed: September 6, 2001
    Date of Patent: November 25, 2003
    Assignee: Lam Research Corporation
    Inventors: Vahid Vahedi, Yosias Melaku
  • Publication number: 20030215751
    Abstract: A resist removal method and device therefor are provided that are excellent from the point of view of washing costs and environmental preservation and that also offer extremely high removal performance, and this method of resist removal using functional water according to the present invention includes the following steps:
    Type: Application
    Filed: May 20, 2003
    Publication date: November 20, 2003
    Applicant: Ushio Denki Kabushiki Kaisya
    Inventors: Kiyoshi Otake, Nobuyuki Hishinuma
  • Publication number: 20030215754
    Abstract: A stable concentrate and method to reduce or prevent residue and scum formation on a substrate. The stable concentrate has an alkaline component in combination with another compound in a sufficient amount to reduce or prevent the formation of residue and scum on a substrate. The stable concentrate may be employed in developing processes in the manufacturing of printed wiring boards.
    Type: Application
    Filed: May 7, 2003
    Publication date: November 20, 2003
    Applicant: Shipley Company, L.L.C.
    Inventors: Daniel E. Lundy, Robert K. Barr, Edgardo Anzures
  • Publication number: 20030211427
    Abstract: The present invention provides a method for avoiding particulate contamination of a semiconductor wafer in a stripping bath and a stripping system for implementing the method. The method includes providing at least one semiconductor wafer vertically oriented in a wafer containing fixture; providing a solution bath for removing particulate material from a semiconductor wafer surface; immersing the wafer containing fixture in the solution bath positioned over a movable member having a contact surface such that upon moving the movable member in a vertical direction the contact surface contacts a portion of the edge of the at least one semiconductor; and moving the movable member such that the at least one semiconductor wafer is projected upward from a resting position in the wafer containing fixture.
    Type: Application
    Filed: May 7, 2002
    Publication date: November 13, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Hsiang Tseng, Tung-Wen Hsieh, Yu-Hsi Wang, Wei-Jen Huang, Sheng-Liang Pan, Szu-Yao Wang
  • Publication number: 20030211422
    Abstract: A process for chemically amplifying structured resists includes applying a chemically amplified resist to a substrate and structuring it in a customary manner. Preferably, the amplification agent is applied in the aqueous phase to the structured resist and, after chemical amplification is complete, excess agent is removed by an aqueous wash medium. By using water as a solvent for the amplification agent and as a wash medium, it is possible to avoid organic solvents that constitute an explosion hazard. Furthermore, removal of partially exposed resist sections is suppressed.
    Type: Application
    Filed: February 28, 2003
    Publication date: November 13, 2003
    Inventors: Siew Siew Yip, Jorg Rottstegge, Ernst-Christian Richter, Gertrud Falk, Michael Sebald, Kerstin Seibold, Marion Kern
  • Publication number: 20030211425
    Abstract: A photoimageable, aqueous acid soluble polyimide polymer comprising an anhydride, including a substituted benzophenone nucleus, a diamine reacted with the anhydride to form a photosensitive polymer intermediate, and at least 60 Mole % of solubilizing amine reacted with the photosensitive polymer intermediate to form the photoimageable, aqueous acid soluble polyimide polymer. An emulsion for electrophoretic deposition of a coating of a photoimageable, aqueous acid soluble polyimide polymer comprises a dispersed phase, including the photoimageable aqueous acid soluble polyimide polymer, dissolved in an organic solvent and a dispersion phase including a coalescence promoter and water. The emulsion may be applied, by electrophoretic deposition, to a conductive structure to provide a photoimageable coating on the conductive structure.
    Type: Application
    Filed: March 18, 2003
    Publication date: November 13, 2003
    Applicant: 3M Innovative Properties Company
    Inventors: Guoping Mao, Hany B. Eitouni, Alphonsus V. Pocius, John B. Scheibner, Nanayakkara L.D. Somasiri, Nicholas A. Stacey, Alfred Viehbeck
  • Patent number: 6641982
    Abstract: A method including forming a photoimageable material on a substrate; developing the photoimageable material over an opening area, the photoimageable material over a first portion of the opening area developed to a first extent and the photoimageable material over a second portion of the opening area developed to a different second extent; removing developed photoimageable material from the opening area; and forming an opening in the substrate in the opening area.
    Type: Grant
    Filed: June 7, 2001
    Date of Patent: November 4, 2003
    Assignee: Intel Corporation
    Inventor: Ajay Jain
  • Patent number: 6638694
    Abstract: A resist stripping agent comprising a specific alkanolamine having at least one functional group represented by the following formula (I): wherein R1 and R2 are each hydrogen atom, C1-C8 alkyl or C1-C8 alkenyl. The resist stripping agent easily and efficiently removes resist films and resist residues remaining after etching or after ashing subsequent to etching in manufacturing semiconductor devices at low temperatures in short period of time. The resist stripping agent is resistant to corrosion against materials for substrate, circuits and insulating films.
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: October 28, 2003
    Assignee: Mitsubishi Gas Chemical Company, Inc
    Inventors: Kazuto Ikemoto, Hisaki Abe, Taketo Maruyama, Tetsuo Aoyama
  • Publication number: 20030198895
    Abstract: A method of passivating silicon-oxide based low-k materials using a supercritical carbon dioxide passivating solution comprising a silylating agent is disclosed. The silylating agent is preferably an organosilicon compound comprising organo-groups with five carbon atoms such as hexamethyldisilazane (HMDS) and chlorotrimethylsilane (TMCS) and combinations thereof. The silicon oxide-based low-k material, in accordance with embodiments of the invention, is maintained at temperatures in a range of 40 to 200 degrees Celsius, and preferably at a temperature of about 150 degrees Celsius, and at pressures in a range of 1,070 to 9,000 psi, and preferably at a pressure of about 3,000 psi, while being exposed to the supercritical passivating solution. In accordance with further embodiments of the invention, a silicon oxide-based low-k material is simultaneously cleaned and passivated using a supercritical carbon dioxide cleaning solution.
    Type: Application
    Filed: March 4, 2003
    Publication date: October 23, 2003
    Inventors: Dorel Ioan Toma, Paul Schilling
  • Patent number: 6635412
    Abstract: A method utilizing gray-tone exposure of a class of thick negative photo-sensitized epoxy resists from the substrate side of a transparent substrate and development methods that rely upon a physical distinction between polymerized (solid) and unpolymerized (liquid) photoresist at elevated temperatures may be used to fabricate 3-D structures in the photo-sensitized epoxy. Such structures may exhibit smoothly-varying topographic features with thicknesses as great as 2 mm.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: October 21, 2003
    Inventor: Martin A. Afromowitz
  • Publication number: 20030194656
    Abstract: The present invention provides a method of producing a compound semiconductor device having a lift-off process. The lift-off process includes a step of forming a resist mask having an electrode opening on an active layer of a compound semiconductor formed on a substrate of a compound semiconductor; a step of forming a metal layer on the resist mask and the active layer in the electrode opening; and a releasing step of dissolving the resist mask and removing the metal layer formed on the resist mask to leave the metal layer on the active layer in the electrode opening as an electrode. In the releasing step, the resist mask is removed sufficiently by using a resist remover essentially consisting one or more compounds selected from a group consisting of an amine-including compound and nitrogen-including cyclic compounds so that the residual resist mask need not be removed by ashing.
    Type: Application
    Filed: April 9, 2003
    Publication date: October 16, 2003
    Applicants: Mitsubishi Denki Kabushiki Kaisha, EKC Technology Kabushiki Kaisha
    Inventors: Akiyoshi Kudo, Hiroshi Kobayashi, Takanori Matsumoto
  • Publication number: 20030190534
    Abstract: In a method of producing a photomask blank, comprising a thin film forming step of forming, on a rectangular substrate, a thin film for causing an optical change in exposure light, a resist application step of applying a positive resist on the thin film, a baking step of heat treating the resist applied on the thin film, and a removing step of removing a resist film formed in a portion of the edge of the substrate, the removing step is carried out by exposing the resist film in the portion of the edge of the substrate after the resist application step and before the heat treating step so that, upon development subsequently carried out in the removing step, a difference in solubility or dissolving speed in a developer is obtained between an exposed area and an unexposed area and by selectively supplying the developer to the exposed area.
    Type: Application
    Filed: March 31, 2003
    Publication date: October 9, 2003
    Applicant: HOYA CORPORATION
    Inventors: Hideo Kobayashi, Keishi Asakawa
  • Publication number: 20030186170
    Abstract: A method of forming a resist pattern effectively controls the manner/style and the amount of modification of a resist pattern in its reflowing process, realizing a desired resist pattern with a desired accuracy even if the deformation amount of the resist pattern is increased in the reflowing process. A second layer is formed on a first layer and then, a first resist pattern is formed on the second layer. The second layer is selectively etched using the first resist pattern as a mask. Thereafter, wettability of at least part of an exposed area of the second or first layer from the first resist pattern is adjusted, thereby forming a wettability-adjusted part. The first resist pattern is modified in such a way as to extend to the wettability-adjusted area by reflowing the first resist pattern using an organic solvent, thereby forming a second resist pattern for selectively etching the first layer or the second layer.
    Type: Application
    Filed: March 27, 2003
    Publication date: October 2, 2003
    Applicant: NEC Corporation
    Inventor: Masami Yamashita
  • Patent number: 6627360
    Abstract: A method of forming an etch mask includes patterning a top surface of a photoresist layer, carbonizing the patterned top surface of the photoresist layer and selectively removing portions of the photoresist layer. Portions of the photoresist layer under the carbonized areas remain. A substrate or a layer above substrate can be etched or processed in accordance with the mask formed from the photoresist layer.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: September 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Scott A. Bell, Christopher F. Lyons
  • Publication number: 20030181342
    Abstract: A semi-aqueous cleaning formulation useful for removing particles from semiconductor wafer substrates formed during a dry etching process for semiconductor devices, the cleaning formulation comprising a buffering system a polar organic solvent, and a fluoride source.
    Type: Application
    Filed: March 25, 2002
    Publication date: September 25, 2003
    Inventors: Ma. Fatima Seijo, William A. Wojtczak, David Bernhard, Thomas H. Baum, David Minsek
  • Publication number: 20030175626
    Abstract: Contaminant removal from a substrate can be performed using a supercritical fluid. An apparatus can be configured to operate at conditions that take advantage of higher solubility of a contaminant in its supercritical state compared to its liquid state. The substrate can be exposed to a supercritical fluid in a chamber to remove at least some of the contaminant. Outside the chamber, the supercritical fluid can be cooled to its corresponding liquid state, in which lower solubility of the contaminant may allow the contaminant to separate into a different phase from the liquid phase of the supercritical fluid. Such contaminant removal can be highly advantageous to substrates that withstand only limited amounts of physical or mechanical stress or heat. The contaminant removal can also be used where geometries virtually prevent removal by physical or mechanical means.
    Type: Application
    Filed: March 14, 2002
    Publication date: September 18, 2003
    Inventors: Nicholas A. Ryza, Allan W. Awtrey
  • Patent number: 6617087
    Abstract: The present invention provides a system and process for controlling the application of patterned resist coatings in an integrated circuit manufacturing process that employs multiple reticle patterns. One aspect of the invention relates to obtaining scatterometry measurements from a patterned resist and using the measurements to determine whether the correct reticle pattern was employed in forming the patterned resist. According to another aspect of the invention, the reticles are provided with grating patterns in addition to reticle patterns, whereby when the reticles are printed, gratings are formed in the resist. The gratings can be used, with scatterometry, to identify the reticle pattern. The reticles can be configured so that the gratings form in a non-functional portion of a wafer, such as a portion along a score line. Where it is, determined that the correct reticle pattern was not used, corrective action can be taken such as stripping the resist and reprocessing the affected wafers.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: September 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bharath Rangarajan, Bhanwar Singh, Ramkumar Subramanian
  • Publication number: 20030162133
    Abstract: A method of removing exposed resist, including the steps of applying a first layer of developer to the surface of the resist for a first period of time, substantially removing said first layer of developer from the surface of the resist, and applying a second layer of developer to the surface of the resist for a second period of time. The method further includes the step of substantially removing said second layer of developer, and applying at least a third layer of developer to the surface of the resist for a third period of time.
    Type: Application
    Filed: December 31, 2002
    Publication date: August 28, 2003
    Inventors: Brian Martin, John Perring
  • Publication number: 20030157441
    Abstract: A thinner composition is effective in removing a variety of photoresists, and includes propylene glycol mono-methyl ether acetate, ethyl 3-ethoxy propionate and at least one of &ggr;-butyro lactone and propylene glycol mono-methyl ether. The thinner composition can selectively strip a photoresist coated on a backside and at an edge portion of a substrate, as well as a photoresist coated on a whole front surface of the substrate.
    Type: Application
    Filed: March 5, 2003
    Publication date: August 21, 2003
    Inventors: Seung-Hyun Ahn, Sang-Mun Chon, Hoe-Sik Chung, Mi-Sook Jeon, Eun-Mi Bae, Baik-Soon Choi, Ok-Seok Jang, Young-Cheul Lim
  • Publication number: 20030156378
    Abstract: A method for integrating copper with an MIM capacitor during the formation the MIM capacitor. The MIM capacitor is generally formed upon a substrate and at least one copper layer is deposited upon the substrate and layers thereof to form at least one metal layer from which the MIM capacitor is formed, such that the MIM capacitor may be adapted for use with an embedded DRAM device. The MIM capacitor comprises a low-temperature MIM capacitor. At least one DRAM crown photo layer may be formed upon the substrate and layers thereof to form the MIM capacitor. The number of additional lithographic steps required in BEOL manufacturing operations is thus only one, while the capacitance of the MIM capacitor can be improved greatly because the sequential process of the DRAM crown photo patterning steps may be altered.
    Type: Application
    Filed: February 21, 2002
    Publication date: August 21, 2003
    Applicant: Taiwan semiconductor Manufacturing Co., Ltd.
    Inventors: Min-Hsiung Chiang, Chi-Hsin Lo
  • Publication number: 20030152874
    Abstract: The invention provides a photoresist stripping composition including (a) an alkanolamine other than those alkanolamines falling under the definition of the below-described component (b); (b) an alkanolamine having in the molecule thereof at least one moiety represented by the following formula (1): 1
    Type: Application
    Filed: December 10, 2002
    Publication date: August 14, 2003
    Inventors: Hijiri Nakahara, Yukihiko Takeuchi, Ryou Hashimoto, Taketo Maruyama, Hisaki Abe
  • Publication number: 20030143495
    Abstract: The object of the present invention is to provide, in the production of semiconductor circuit elements, a photoresist residue removing liquid composition which is excellent for removing photoresist residues after dry etching without attacking the wiring material or the interlayer insulating film etc.
    Type: Application
    Filed: December 4, 2002
    Publication date: July 31, 2003
    Inventors: Norio Ishikawa, Takuo Oowada
  • Publication number: 20030134234
    Abstract: Disclosed herein is a photoresist stripping solution comprising (a) a nitrogen-containing organic hydroxyl compound, (b) a water soluble organic solvent, (c) water, and (d) a specific benzotriazole compound, and a method of stripping photoresists using the same. According to the invention, there are provided a photoresist stripping solution, which is particularly suitable for SiO2 substrates utilized in liquid-crystal panel devices, and is excellent not only in preventing substrates from corrosion having metallic conductor patterns, particularly copper (Cu) conductor patterns, formed thereon, or substrates having metallic conductor patterns and overlying inorganic material layers formed thereon, but also in stripping photoresist layers as well as modified photoresist films, and a method for removing a photoresist using said stripping solution.
    Type: Application
    Filed: November 26, 2002
    Publication date: July 17, 2003
    Inventors: Kazumasa Wakiya, Masakazu Kobayashi
  • Publication number: 20030129541
    Abstract: A redistribution process is described. A wafer is provided, wherein a first titanium layer, a first copper layer and a second titanium are sequentially formed over the surface of the wafer. The second titanium layer, the first copper layer and the first titanium layer are then defined to form a patterned trace layer. A patterned benzocyclobutene layer is then formed to expose the second titanium layer. The exposed second titanium layer is further removed to expose the first copper layer. Thereafter, a plurality of contacts is formed over the patterned benzocyclobutene layer and to connect with the first copper layer. Further, the wafer comprises a plurality of bonding pads, wherein each bonding pad is connected with each contact through the patterned trace layer.
    Type: Application
    Filed: January 6, 2003
    Publication date: July 10, 2003
    Inventor: Chao-Fu Weng
  • Patent number: 6589715
    Abstract: A process for etching a PPMS layer that increases the etch selectivity of PPMS relative to PPMSO from an initial low etch selectivity to a higher etch selectivity at a later stage of the etching process. In some embodiments, the etch selectivity used during a first etching step of the process is less than 4:1 and the etch selectivity used during a second etching step, subsequent to the first step, is greater than 5:1. In some other embodiments, the etch selectivity of the first step is between 2-3:1 and the etch selectivity of the second step is greater than 8:1. Optionally, in still other embodiments a third etching step, performed between the first and second etching steps may be employed where the etch selectivity is between 3-8:1.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: July 8, 2003
    Assignees: France Telecom, Applied Materials, Inc.
    Inventors: Olivier Joubert, Cedric Monget, Timothy Weidman, Dian Sugiarto, David Mui
  • Patent number: 6589719
    Abstract: A photoresist stripper composition is made up of a mixture of an acetic acid ester, &ggr;-butyrolactone (GBL), and a non-acetate ester or a poly alkyl alcohol derivative. The acetic acid ester may be at least one of n-butyl acetate, amyl acetate, ethyl aceto-acetate, and isopropyl acetate. The non-acetate ester may be at least one of ethyl lactate (EL), ethyl-3-ethoxy propionate (EEP) and methyl-3-methoxy (MMP). The poly alkyl alcohol derivative may be at least one of propylene glycol monomethyl ester (PGME) and propylene glycol monomethyl ester acetate (PGMEA).
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: July 8, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Hyun Ahn, Sang-Mun Chon, Hoe-Sik Chung, Mi-Sook Jeon, Eun-Mi Bae, Baik-Soon Choi, Ok-Seok Jang
  • Patent number: 6586164
    Abstract: The present invention is directed toward effective photoresist stripping compositions that are less corrosive and do not cause skin irritation. One form of the present invention is a composition useful as a photoresist remover that includes an alkylene carbonate, and one or more additional components chosen from the group that includes alkyl hydrogen peroxides, hydroxyalkyl ureas, urea-hydrogen peroxides, N-substituted morpholines and alcohols. Another form of the present invention is a composition for removing photoresist from a surface that includes an N-substituted morpholine.
    Type: Grant
    Filed: June 6, 2002
    Date of Patent: July 1, 2003
    Assignee: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Jr., Edward T. Marquis, Wheeler C. Crawford
  • Publication number: 20030116534
    Abstract: A method of metal etching post cleaning. A substrate with a surface covered by a patterned metal layer and a patterned resist layer in order is provided, subsequently, oxygen-plasma ashing is performed to remove the patterned resist layer to expose the surface of the patterned metal layer. Next, an ozone-plasma ashing is performed to release charges on the surface of the patterned metal layer, the ozone-plasma ashing time at 30 sec˜180 sec, and the ozone-plasma ashing temperature at 200° C.˜300° C. The surface of the patterned metal layer is finally cleaned with sulfuric peroxide, molar concentration of sulfuric acid and hydrogen peroxide therein being 0.07M˜0.4M and 0.8M˜1.5M, respectively. In addition, the temperature of the sulfuric peroxide during post cleaning is 25° C.˜50° C.
    Type: Application
    Filed: July 10, 2002
    Publication date: June 26, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Yi-Nan Chen, Hui Min Mao, Shih-Chieh Kao, Tien-Sung Chen
  • Publication number: 20030113673
    Abstract: A photoresist stripper composition is made up of a mixture of an acetic acid ester, &ggr;-butyrolactone (GBL), and a non-acetate ester or a poly alkyl alcohol derivative. The acetic acid ester may be at least one of n-butyl acetate, amyl acetate, ethyl aceto-acetate, and isopropyl acetate. The non-acetate ester may be at least one of ethyl lactate (EL), ethyl-3-ethoxy propionate (EEP) and methyl-3-methoxy (MMP). The poly alkyl alcohol derivative may be at least one of propylene glycol monomethyl ester (PGME) and propylene glycol monomethyl ester acetate (PGMEA).
    Type: Application
    Filed: April 5, 2002
    Publication date: June 19, 2003
    Inventors: Seung-Hyun Ahn, Sang-Mun Chon, Hoe-Sik Chung, Mi-Sook Jeon, Eun-Mi Bae, Baik-Soon Choi, Ok-Seok Jang
  • Patent number: 6579666
    Abstract: A method comprising introducing a photoimageable material on a substrate; developing the photoimageable material over an opening area, the photoimageable material over a first portion of the opening area developed to a first extent and the photoimageable material over a second portion of the opening area developed to a different second extent; removing developed photoimageable material from the opening area; and forming an opening in the substrate in the opening area.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: June 17, 2003
    Assignee: Intel Corportion
    Inventor: Ajay Jain
  • Patent number: 6579668
    Abstract: A photoresist remover composition including: 10 to 30% by weight amine compound; 20 to 60% by weight glycol series solvent; 20 to 60% by weight polar solvent; and 0.01 to 3% by weight perfluoroalkylethyleneoxide. The performance of the photoresist remover composition in stripping the photoresist residue, which is generated by dry or wet etching, ashing or ion implantation, from a substrate is enhance, and the photoresist remover composition is able to be smoothly applied over a variety of metal layers including an aluminum (Al) layer. Also, the photoresist remover composition corrodes the metal layers very little.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: June 17, 2003
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Ji-Hum Baik, Chang-Il Oh, Sang-Dai Lee, Chong-Soon Yoo
  • Publication number: 20030108817
    Abstract: Positive-working thermally imageable elements, useful as printing plate precursors and having reduced ablation when thermally imaged, and methods for their preparation are disclosed. In one aspect, the elements contain a hydrophilic substrate, an underlayer, a barrier layer, and a top layer. The underlayer comprises a photothermal conversion material.
    Type: Application
    Filed: November 15, 2001
    Publication date: June 12, 2003
    Inventors: Jayanti Patel, Paul West, Kevin Ray, Kevin Williams
  • Publication number: 20030108823
    Abstract: A method and an apparatus for removing an organic film such as a resist film from a substrate surface are provided. These are very safe even at high temperatures, and use a treatment liquid which can be recycled and reused. A treatment liquid typically formed from liquid ethylene carbonate, propylene carbonate, or a liquid mixture of these two compounds, and in particular such a treatment liquid containing dissolved ozone, is contacted with a substrate with an organic film, and the organic film is removed.
    Type: Application
    Filed: October 21, 2002
    Publication date: June 12, 2003
    Applicants: UMS Co., Ltd., Purex Co., Ltd.
    Inventors: Hisashi Muraoka, Rieko Muraoka, Asuka Sato, Mitsuru Endo
  • Publication number: 20030104320
    Abstract: A photoresist or a residue of the photoresist may by removed by the hydrogen and water plasma mixture. The process may be performed at a temperature range between about 150° C. and about 450° C., preferably about 250° C., and a power range between about 500 W and about 3000 W, preferably about 1400 W.
    Type: Application
    Filed: November 30, 2001
    Publication date: June 5, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Huong Thanh Nguyen, Mark Naoshi Kawaguchi, Mehul B. Naik, Li-Qun Xia, Ellie Yieh
  • Publication number: 20030099908
    Abstract: A photoresist stripping solution comprising (a) a carboxyl group-containing acidic compound, (b) at least one basic compound (for example, monoethanolamine, tetraalkylammonium) selected from among alkanolamines and specific quaternary ammonium hydroxides, (c) a sulfur-containing corrosion inhibitor and (d) water, and having a pH value of 3.5-5.5; and a method of stripping photoresists using the same are disclosed. The present invention provides a photoresist stripping solution which is excellent in the effect of protecting metal wirings (in particular, Cu wirings) from corrosion, never damages interlevel films, such as low dielectric layers or organic SOG layers, and shows excellent strippability of photoresist films and post-ashing residues.
    Type: Application
    Filed: August 30, 2002
    Publication date: May 29, 2003
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Patent number: 6566039
    Abstract: A variable data lithographic printing device comprises surrounding a printing cylinder a photosensitive layer coater, an exposure source, optionally a developer, an inking applicator, optionally a transfer system, and optionally an eraser. During printing operation, each surface areas of the rotating cylinder continuously undergo the cycle of coating, imagewise exposure, optionally developing, inking, printing of inked imaging to the receiving medium, and optionally erasing processes. The developing means can be omitted if an ink and/or fountain solution developable photosensitive layer is used. In an alternative design, the cylinder is replaced with a continuous supply of a ribbon with lithographic substrate surface. In another alternative design, the cylinder and the coater are replaced with a continuous supply of a pre-sensitized ribbon comprising on a substrate a photosensitive layer.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: May 20, 2003
    Inventor: Gary Ganghui Teng
  • Publication number: 20030091937
    Abstract: A method of forming a patterned layer on a substrate including depositing a notched or undercut resist pattern to define at least one recess in the photoresist, with the notch or undercut circumjacent the base of the recess, sputtering a material into the recess and removing the resist and the material deposited on the resist characterised in that the aspect ratio of the recess and height of the mouth of the notch or undercut are such that the notch or undercut lies substantially in the shadow beneath the resist, the layer deposited upon it and the layer at the base of the recess in respect of any sputtered particle travelling in a straight line through the mouth of the recess such that material deposited on the walls of the recesses is not continuous with material deposited on the base of the recess.
    Type: Application
    Filed: November 8, 2002
    Publication date: May 15, 2003
    Inventors: James Francis O'Sullivan, Stephen Robert Burgess
  • Publication number: 20030091938
    Abstract: A method of forming an integrated circuit using an amorphous carbon film. The amorphous carbon film is formed by thermally decomposing a gas mixture comprising a hydrocarbon compound and an inert gas. The amorphous carbon film is compatible with integrated circuit fabrication processes. In one integrated circuit fabrication process, the amorphous carbon film is used as a hardmask. In another integrated circuit fabrication process, the amorphous carbon film is an anti-reflective coating (ARC) for deep ultraviolet (DUV) lithography. In yet another integrated circuit fabrication process, a multi-layer amorphous carbon anti-reflective coating is used for DUV lithography.
    Type: Application
    Filed: December 17, 2002
    Publication date: May 15, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Kevin Fairbairn, Michael Rice, Timothy Weidman, Christopher S. Ngai, Ian Scot Latchford, Christopher Dennis Bencher, Yuxiang May Wang
  • Publication number: 20030087202
    Abstract: A method for improving the resolution of optic lithographic is disclosed. The method includes a step of forming an etched layer on the substrate, an inorganic photoresist layer is spun-on the etched layer, and an atomic layer on the inorganic photoresist layer. Then, a deep ultraviolet light is illuminated to the inorganic photoresist layer such that the acid molecular is formed from inorganic photoresist layer. Next, the atomic layer is catalyzed by acid molecular and converted to metallic oxide by active oxygen atom. After oxidation, the oxide pattern can be obtained and it is easy by etching process.
    Type: Application
    Filed: April 11, 2002
    Publication date: May 8, 2003
    Inventor: Chih-Yung Lin
  • Patent number: 6558879
    Abstract: A stripping and cleaning composition for the removal of residue from metal and dielectric surfaces in the manufacture of semi-conductors and microcircuits. The composition is an aqueous system including organic polar solvents including corrosive inhibitor component from a select group of aromatic carboxylic acids used in effective inhibiting amounts. A method in accordance with this invention for the removal of residues from metal and dielectric surfaces comprises the steps of contacting the metal or dielectric surface with the above inhibited compositions for a time sufficient to remove the residues.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: May 6, 2003
    Assignee: Ashland Inc.
    Inventors: Darryl W. Peters, Floyd L. Riddle
  • Patent number: 6559072
    Abstract: A develop process for reduced cycle time and reduced defects in the develop process for semiconductor/IC fabrication is shown. The use of a linear slit scan nozzle provides even distribution of a layer of develop material within an acceptable thickness and uniformity range such that a pre-wet step is not needed to spread the develop material evenly over the surface of a wafer. The use of a whip operation prior to rinsing with DI water significantly reduces develop defects.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: May 6, 2003
    Assignee: Micron Technology, Inc.
    Inventors: John T. Davlin, Greg Montanino
  • Publication number: 20030082464
    Abstract: A UV absorbent represented by the following formula (1) [R1 represents an alkenyl group, all of R1 represent the same group, and R2, R3 and R4 independently represent a hydrogen atom, an alkyl group, an alkoxy group, or a halogen atom], a method of preparing the UV absorbent due to a reaction of a compound represented by the following formula (2) and an alkenylating agent represented by the following formula (3) in the presence of a base [R2, R3 and R4 independently represent a hydrogen atom, an alkyl group, an alkoxy group, or a halogen atom, and X represents a halogen atom, —OSO2R5 or —OSO2OR1, and R5represents an alkyl group or an aryl group], a composition containing therein the UV absorbent, and an image forming method using the composition: 1
    Type: Application
    Filed: May 29, 2002
    Publication date: May 1, 2003
    Applicant: FUJI PHOTO FILM CO., LTD.
    Inventors: Masanobu Takashima, Hideaki Itou
  • Patent number: 6554507
    Abstract: In this invention, resist patterns formed by development are dried using a supercritical fluid such that no moisture enters the patterns.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: April 29, 2003
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventor: Hideo Namatsu
  • Publication number: 20030073011
    Abstract: A method of manufacturing a selectively relief-treated image member comprises:
    Type: Application
    Filed: August 17, 2001
    Publication date: April 17, 2003
    Inventors: Kevin Barry Ray, Hans-Horst Glatt, Ali Cam
  • Patent number: 6548231
    Abstract: A two step passivation procedure, used to remove chlorine from polymer layers formed on the sides of metal structures, prior to removal of the defining photoresist shape, and of the polymer layers, has been developed. The procedure features a first passivation step, performed at a low substrate temperature, (100-140° C.) at low RF power, (150 to 250 watts), and using a 2 to 1 ratio of oxygen to water, resulting in removal of corrosion causing chlorine, from the polymer layers, located on the sides of a first group of defined metal structures, which in turn reside at the edge of a semiconductor substrate. A second passivation step, of the two step passivation procedure, is then performed using water only, at higher substrate temperature, (200-250° C.), resulting in removal of chlorine from polymer layers located on the sides of a second set of metal structures, which reside at the center of the semiconductor substrate.
    Type: Grant
    Filed: November 13, 2000
    Date of Patent: April 15, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Aik Hon Goh, Xin Zhang, Carol Goh
  • Patent number: 6548230
    Abstract: A method for in-situ removal of unwanted coating layers from a wafer surface by utilizing a magnetic field enhanced plasma is disclosed. The unwanted coating layers may include, but are not limited to, photoresist coating layers and sidewall passivation polymer layers. The magnetic field can be generated at a flux density between about 10 gauss and about 100 gauss. The wafer surface is exposed to the magnetic field enhanced plasma ions for a time period until substantially all the unwanted coating layers are removed, i.e., in a time period between about 1/2 minute and about 10 minutes. An oxygen plasma is used for demonstrating the method.
    Type: Grant
    Filed: September 18, 1998
    Date of Patent: April 15, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Shu-Hong H. Liou
  • Publication number: 20030068579
    Abstract: A manufacturing method of an alkaline solution, comprising dissolving a gaseous molecule having oxidizing properties or reducing properties in an aqueous alkaline solution.
    Type: Application
    Filed: May 14, 2002
    Publication date: April 10, 2003
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Riichiro Takahashi, Kei Hayasaki, Tomoyuki Takeishi, Shinichi Ito