Removal Of Imaged Layers Patents (Class 430/329)
  • Patent number: 6929901
    Abstract: A method of removing resinous organic material over a semiconductor process surface including providing a semiconductor wafer having a process surface comprising a resinous organic material; and, exposing the process surface to a supercritical CO2 containing medium further comprising at least a first solvent for a predetermined period to produce a substantially resinous organic material free and undamaged process surface.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: August 16, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ai-Sen Lui, Chun-Hsien Lin
  • Patent number: 6926798
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: August 9, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 6916597
    Abstract: A thin film to be milled is formed on a substrate 1, and thereafter, a polymethylglutarimide layer and a photoresist layer are coated. Then, the photoresist layer is exposed and developed via a given mask, to form a pre-resist pattern. Then, ashing treatment is performed for the pre-resist pattern to a narrowed resist pattern. Subsequently, the thin film to be milled is milled via the resist pattern to obtain a patterned thin film.
    Type: Grant
    Filed: October 1, 2001
    Date of Patent: July 12, 2005
    Assignee: TDK Corporation
    Inventors: Akifumi Kamijima, Hisayoshi Watanabe
  • Patent number: 6913871
    Abstract: Optical gratings are fabricated on a scale that may be smaller than the resolution of the lithographic system used to generate the grating pattern. Parallel ridges are formed using lithographic techniques. A conformal deposition and anisotropic etch are then used to form sidewalls on the sides of the ridges. After removing the ridges, the remaining sidewalls are used as a mask to etch the substrate. Removing the sidewalls leaves the desired grating pattern, with a pitch spacing of one-half that of the original ridges.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: July 5, 2005
    Assignee: Intel Corporation
    Inventor: Daniel W. So
  • Patent number: 6897162
    Abstract: After ion implantation, thermal ashing is conducted in a high oxygen concentration at a pressure of between about 100 to about 760 Torr at below 700° C. to remove the resist. Since photoresist consists of Carbon (C), Hydrogen (H) and Oxygen (O), the products of reaction of the thermal oxidation of the photoresist include CO2 and H2O. Since the process includes a substantial amount of oxygen, the resist can be completely oxidized, thus leaving no residue or other contaminates to remain on the substrate.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: May 24, 2005
    Assignee: WaferMasters, Inc.
    Inventor: Woo Sik Yoo
  • Patent number: 6893802
    Abstract: In a method of forming a patterned thin film, a first film to be patterned and a peelable film are sequentially formed on a base layer, and an undercut mask is then formed thereon. Then, using of the mask, the peelable film and the first film to be patterned are etched selectively to form a first patterned thin film. During the etching, a substance that forms the first film to be patterned deposits to form a deposition film on the peelable film. Then, a film to be patterned is formed over the entire surface. During the formation, a substance that forms the film to be patterned deposits to form another deposition film on the peelable film. The mask and the peelable film are then peeled off to remove the deposition films together.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: May 17, 2005
    Assignee: TDK Corporation
    Inventor: Hisayoshi Watanabe
  • Patent number: 6887654
    Abstract: A composition and method to reduce or to prevent residue and scum formation on a substrate or in a solution. The composition contains an aromatic alkoxylate in combination with a polyol or ether or ester of a polyol. The composition also reduces or prevents foam formation such as in developing processes in the manufacturing of printed wiring boards.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: May 3, 2005
    Assignee: Shipley Company, L.L.C.
    Inventors: Daniel E. Lundy, Robert K. Barr, Edgardo Anzures, Edward J. Brady, James G. Shelnut
  • Patent number: 6884727
    Abstract: A method for forming a sacrificial layer (30) over patterned structures (28) to allow structures (28) to be trimmed laterally without incurring much loss vertically. Structures (28) are patterned on a first layer (26) of a substrate (24). Thereafter, sacrificial layer (30) is deposited on structures (28). During this deposition, the thickness of sacrificial layer (28) grows vertically above structures (28) faster than it grows laterally adjacent to the structures' sidewalls. Sacrificial layer (30) and patterned structures (28) are then etched where the etch rate uniformity ensures that the sacrificial layer (30) covering the sidewalls is cleared before the sacrificial layer covering the horizontal portions thereby enabling etching of the patterned structure sidewalls without reducing the patterned structure height. The sacrificial layer may comprise a polymer formed with a low energy fluorocarbon plasma while the subsequent etch may employ an oxygen plasma.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: April 26, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Da Zhang, Terry G. Sparks
  • Patent number: 6867150
    Abstract: The invention concerns an ozone treatment method and an ozone treatment apparatus for performing a treatment such as the formation and reformation of an oxide film, the removal of a resist film by blowing an ozone gas onto a surface of a substrate such as a semiconductor substrate or liquid crystal substrate. The ozone treatment apparatus 1 includes: a placement table 20 on which the substrate K is placed; a heating unit for heating the substrate K placed on the placement table 20; an opposed plate 40, disposed opposite the substrate K, for discharging the ozone gas through a discharge port 44 formed in a surface facing the substrate K, a gas feeding means 43 for feeding the ozone gas into the discharge port 44; a lifter 30 for moving the placement table 20 up and down; and a control unit 35 for controlling the operation of said lifter 30.
    Type: Grant
    Filed: March 18, 2002
    Date of Patent: March 15, 2005
    Assignee: Sumitomo Precision Products Co., Ltd.
    Inventors: Tatsuo Kikuchi, Takeo Yamanaka, Yukitaka Yamaguchi, Tokiko Kanayama
  • Patent number: 6866094
    Abstract: A system and method for controlling temperature in a workpiece chuck are described. A fluid circulation system circulates a temperature control fluid, such as an engineered HFE fluid, through te workpiece chuck. A fluid recovery system coupled to the fluid circulation system recovers a portion of the temperature control fluid from the fluid circulation system by circulating a gas through the fluid circulation system including fluid tubes and fluid passages in the chuck. The gas, which can be air, carries a portion of residual or excess fluid through the fluid circulation system as it is circulated. The residual fluid is carried back to a reservoir such that it can continue to be used to control temperature of the chuck. Where gas and temperature control fluid vapors are displaced from the reservoir, they are routed through a suction line heat exchanger which condenses the vapor. The gas and condensed fluid are separated in a fluid separator.
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: March 15, 2005
    Assignee: Temptronic Corporation
    Inventors: Shawn M. Cousineau, Robert D. Kelso, Douglas S. Olsen, David Stura
  • Patent number: 6864044
    Abstract: The object of the present invention is to provide, in the production of semiconductor circuit elements, a photoresist residue removing liquid composition which is excellent for removing photoresist residues after dry etching without attacking the wiring material or the interlayer insulating film etc. This is made possible by a photoresist residue removing liquid composition containing one or more members selected from the group consisting of reducing compounds and their salts and one or more members selected from the group consisting of aliphatic polycarboxylic acids and their salts.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: March 8, 2005
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Norio Ishikawa, Takuo Oowada
  • Patent number: 6864041
    Abstract: A method of fabricating an electronic chip on a wafer in which a first mask at a predetermined lower resolution is developed on the wafer and then etched under a first set of conditions for a predetermined period to achieve a mask that is below the resolution limit of current lithography. The etched mask is then used as a hard mask for etching material on a lower layer.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: March 8, 2005
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey J. Brown, Sadanand Vinayak Deshpande, David V. Horak, Maheswaran Surendra, Len Y. Tsou, Qingyun Yang, Chienfan Yu, Ying Zhang
  • Patent number: 6851873
    Abstract: A method and an apparatus for removing an organic film, such as a resist film, from a substrate surface are provided wherein a treatment liquid containing dissolved ozone, and preferably formed from liquid ethylene or propylene carbonate, or both, is contacted with the substrate having the organic film, and the organic film removed, wherein the apparatus contains (A) a treatment liquid delivery device, (B) a film contact device, (C) a liquid circulation device and (D) an ozone dissolution device.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: February 8, 2005
    Assignees: Nomura Micro Science Co., Ltd., UMS Co., Ltd.
    Inventors: Hisashi Muraoka, Rieko Muraoka, Asuka Sato, Mitsuru Endo
  • Patent number: 6849387
    Abstract: A method for integrating copper with an MIM capacitor during the formation the MIM capacitor. The MIM capacitor is generally formed upon a substrate and at least one copper layer is deposited upon the substrate and layers thereof to form at least one metal layer from which the MIM capacitor is formed, such that the MIM capacitor may be adapted for use with an embedded DRAM device. The MIM capacitor comprises a low-temperature MIM capacitor. At least one DRAM crown photo layer may be formed upon the substrate and layers thereof to form the MIM capacitor. The number of additional lithographic steps required in BEOL manufacturing operations is thus only one, while the capacitance of the MIM capacitor can be improved greatly because the sequential process of the DRAM crown photo patterning steps may be altered.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: February 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Min-Hsiung Chiang, Chi-Hsin Lo
  • Patent number: 6844121
    Abstract: A method of manufacturing color filter comprising the steps of forming a metal layer and a photoresistor layer on a substrate; defining a dent over the photoresistor layer and removing the metal layer below the dent; depositing an optical filter material over the resulting structure at relative high temperature, lift off the photoresistor layer by etching the metal layer. The optical filter material can be fabricated at higher temperature (300-400° C.) than conventional cold process, the extinction ratio of the filter made by the optical filter material can be as high as 90% to enhance the performance of the projector system.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: January 18, 2005
    Assignee: Premier Image Technology
    Inventors: Eric Wang, Robin Shyu, Jim Chung, Michael Kuo
  • Patent number: 6830870
    Abstract: A polymer comprises an acetal-containing monomer unit having the general structure I and at least one of the fluorine-containing monomer units having the general structures II and III: wherein R1, R4, R5 and R6 are each independently H, lower alkyl, CH2CO2R10, cyano, CH2CN, or halogen, wherein R10 is any alkyl, cycloalkyl, aryl, arylalkyl, alkylenecycloalkyl, silyl or siloxy or linear or cyclic polysiloxane group; R2 is CHR11R12 where R11 and R12 are each independently H, lower alkyl, cycloalkyl or aryl; A is a substituted or unsubstituted alkylene, cycloalkylene, alkylenecycloalkylene, or alkylenearylene; and R3 is linear, branched or cyclic fluoroalkyl group or SiR13R14R15 where R13, R14, and R15 are each independently alkyl, cycloalkyl, aryl, arylalkyl, alkylenecycloalkyl, silyl, siloxy, linear or cyclic polysiloxane or silsesquioxane alkyl group; B is an aryl, C(═O)—O—(CH2)x where x=0-4, lower alkyl, cycloalkyl, alkene cycloalkyl, silyl, siloxyl, or linear or cycl
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: December 14, 2004
    Assignee: Arch Speciality Chemicals, Inc.
    Inventors: Sanjay Malik, Stephanie J. Dilocker, Binod B. De
  • Publication number: 20040234904
    Abstract: Compositions containing certain amines and/or quaternary ammonium compounds, hydroxylamine, corrosion inhibitor, organic diluent and optionally water are capable of removing photoresist, photoresist byproducts and residue and etching residues from a substrate.
    Type: Application
    Filed: May 23, 2003
    Publication date: November 25, 2004
    Inventors: Jennifer M. Rieker, Thomas Wieder, Dana L. Durham
  • Patent number: 6815142
    Abstract: A method for forming resist patterns, and an overlying layer material and a semiconductor device used in the method for forming resist patterns, which can improve the dimensional uniformity of resist patterns by inhibiting the multiple interference of light beams within a resist film; improve the environmental resistance in a clean room or the like; and obtain resist patterns having rectangular sectional shapes by preventing the acid in the overlying layer material from diffusing into the resist. By using an overlying layer material containing a water-soluble low-molecular-weight acidic substance and a water-soluble photo base generator preventing the diffusion of the acidic substance into the resist, the base formed after exposure neutralizes the acids contained in the overlying layer material in nature, and the diffusion of the acid into the resist can be inhibited.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: November 9, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Yoshika Kimura, Takeo Ishibashi
  • Patent number: 6815150
    Abstract: The invention provides a photoresist stripping composition including (a) an alkanolamine other than those alkanolamines falling under the definition of the below-described component (b); (b) an alkanolamine having in the molecule thereof at least one moiety represented by the following formula (1): (wherein each of R1 and R2 represents hydrogen or a methyl group, and R4 represents a C1-C5 alkyl group); (c) an amide solvent or a sulfoxide solvent; (d) a phosphorus-containing compound; (e) an oxycarboxylic acid; and (f) water. The photoresist stripping composition of the present invention can easily remove photoresist film formed on an inorganic substrate, photoresist residues, and dust or similar matter generated during an etching process in the production of liquid crystal display elements or semiconductor elements, and is highly anticorrosive to various materials such as semiconductor layer materials, conductive materials, and insulating materials.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: November 9, 2004
    Assignees: Sharp Kabushiki Kaisha, Mitsubishi Gas Chemical Co., Inc.
    Inventors: Hijiri Nakahara, Yukihiko Takeuchi, Ryou Hashimoto, Taketo Maruyama, Hisaki Abe
  • Patent number: 6815151
    Abstract: The present invention provides a rinsing solution for lithography with which finely processed parts of a resist pattern can be well rinsed without corroding a metallic film made of Al, Al—Si, Al—Si—Cu, etc. and which is economically advantageous and has a high safety; and a method for processing a substrate with the use of the same. The rinsing solution contains at least one selected from the group consisting of ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether and ethyl lactate.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: November 9, 2004
    Assignee: Tokyo Ohika Kogyo Co., Ltd.
    Inventors: Masahito Tanabe, Kazumasa Wakiya, Masakazu Kobayashi, Toshimasa Nakayama
  • Publication number: 20040214112
    Abstract: Maintaining photoresist thickness and uniformity over a substrate that includes various cavities presents problems, such as preventing distortion of features in the resist image close to cavity edges. These problems have been overcome by laying down the photoresist as two separate layers. The first layer is used to eliminate or reduce problems associated with the presence of the cavities. The second layer is processed in the normal way and does not introduce distortions close to a cavity's edge. A first embodiment introduces some liquid into the cavity before laying down the first layer while the second embodiment etches away part of the first layer before applying the second one. Application of the process to the formation of a cantilever that overhangs a cavity is also described.
    Type: Application
    Filed: April 23, 2003
    Publication date: October 28, 2004
    Applicant: Taiwan Semicondutor Manufacturing Co.
    Inventors: Su-Jen Cheng, Bor-Ping Jang, Chun-Chieh Wang, Jy-Jie Gau
  • Patent number: 6806209
    Abstract: A develop process for reduced cycle time and reduced defects in the develop process for semiconductor/IC fabrication is shown. The use of a linear slit scan nozzle provides even distribution of a layer of develop material within an acceptable thickness and uniformity range such that a pre-wet step is not needed to spread the develop material evenly over the surface of a wafer. The use of a whip operation prior to rinsing with DI water significantly reduces develop defects.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: October 19, 2004
    Assignee: Micron Technology, Inc.
    Inventors: John T. Davlin, Greg Montanino
  • Patent number: 6806038
    Abstract: A method for forming a conductive trace on a substrate. The conductive trace is patterned with a photoresist mask and etched, thereby forming a polymer layer on a top surface and sidewalls of the photoresist mask and on sidewalls of the conductive trace. The polymer layer contains entrained chlorine gas. The substrate is heated on a chuck in a reaction chamber. A remote plasma is generated from ammonia gas and oxygen gas. The substrate is contacted with the ammonia and oxygen plasma, thereby withdrawing a substantial portion of the entrained chlorine gas from the polymer layer. A radio frequency potential is applied to the chuck on which the substrate resides, thereby creating a reactive ion etchant from the ammonia and oxygen plasma in the reaction chamber and removing the polymer layer from the top surface of the photoresist mask. The photoresist mask is thus exposed, and then removed in an ashing process.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: October 19, 2004
    Assignee: LSI Logic Corporation
    Inventors: Shiqun Gu, Hong Lin, Ryan Tadashi Fujimoto
  • Patent number: 6806035
    Abstract: A serialization process presents an efficient method of creating serial numbers on a ceramic-like semiconductor wafer by forming a non-rigid photomask that incorporates character specifications for the serial numbers. The non-rigid photomask is retained in a rigid, optically transparent photomask holder that enables the photomask to be handled as a rigid structure. Upon preparation of the wafer, the serial numbers are created onto wafer dies using a combined process involving photolithography, and a reactive ion etching process with a selective etch rate. The serialization process enables a rapid creation of serial numbers, with the selective RIE process substantially increasing the optical contrast of the characters without the need for deep trenches and without generation of excessive debris.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: October 19, 2004
    Assignee: Western Digital (Fremont), Inc.
    Inventors: Thanawatana Atireklapvarodom, Richard D. Anderson
  • Patent number: 6806034
    Abstract: To form a conductive pattern on dielectric substrates, a method is proposed in which a) a substrate covered with a metal film is coated with a protective layer which is formed by treating the metal film with a solution which contains at least one compound containing nitrogen, b) the protective layer is stripped away by UV radiation at least partially in the regions which do not correspond to the conductive pattern to be formed, in such a way that the metal film is exposed, and c) the exposed metal film is then removed by etching. By means of this method, extremely fine conductive patterns can be manufactured in a reproducible manner on dielectric substrates.
    Type: Grant
    Filed: February 25, 2002
    Date of Patent: October 19, 2004
    Assignee: Atotech Deutschland GmbH
    Inventors: Michael Guggemos, Franz Kohnle
  • Publication number: 20040202964
    Abstract: A method for enhancing adhesion between a reworked photoresist and an underlying oxynitride film. A photoresist pattern layer is formed on an oxynitride layer overlying a substrate. The photoresist pattern layer is removed by acidic solution or oxygen-containing plasma. A surface treatment is performed on the oxynitride layer using a development solution to repair the damaged oxynitride layer due to removing the overlying photoresist pattern layer. A reworked photoresist pattern layer is formed on the oxynitride layer.
    Type: Application
    Filed: July 1, 2003
    Publication date: October 14, 2004
    Applicant: Nanya Technology Corporation
    Inventors: Wen-Bin Wu, Yuan-Hsun Wu, Yi-Nan Chen, Teng-Yen Huang
  • Publication number: 20040197433
    Abstract: A film removing apparatus comprises a substrate holding portion which holds a substrate having a coating film, a laser source which locally irradiates an alignment mark position of the substrate on the substrate holding portion with laser beams, and partially abrades the coating film from the substrate, a fluid supply mechanism including a main nozzle which supplies a predetermined fluid to the alignment mark position, a recovery mechanism having a suction opening which sucks the predetermined fluid supplied to the alignment mark position together with an abraded film component on the substrate, and a guide member which guides the predetermined fluid emitted from the main nozzle to the alignment mark position, and guides the predetermined fluid and the abraded film component to the suction opening of the recovery mechanism so as not to be diffused/leaked around the alignment mark position.
    Type: Application
    Filed: April 26, 2004
    Publication date: October 7, 2004
    Inventors: Shouichi Terada, Naoto Yoshitaka, Masami Akimoto
  • Publication number: 20040197710
    Abstract: A method for defining a ring pattern is described, which forms a ring pattern of any shape with only one photomask without misalignment. In the method, a material layer to be defined and a patterned photoresist layer are sequentially formed on a substrate. A silylated photoresist film is formed around the sidewall of the patterned photoresist layer, and then the patterned photoresist layer is removed. The material layer exposed by the silylated photoresist film is removed to form a ring pattern, and then the silylated photoresist film is removed.
    Type: Application
    Filed: April 1, 2003
    Publication date: October 7, 2004
    Inventor: Ching-Yu Chang
  • Publication number: 20040185381
    Abstract: The present invention relates to a micromininiaturization technique to achieve the miniaturization and higher integration of IC chip and to the improvement of a mask used in its manufacturing process. In other words, the phases of lights transmitted through the mask is controlled within one mask pattern. Specifically, a transparent film is formed in such a manner that it covers a mask pattern along a pattern formed by magnifying or demagnifying the mask pattern or otherwise a groove is formed in a mask substrate. A phase difference of 180° is generated between the lights transmitted through the mask substrate and the transparent film or the groove, causing interference with each light to offset each other. Therefore, the pattern transferred onto a wafer has an improved resolution, being used in the invention.
    Type: Application
    Filed: December 22, 2003
    Publication date: September 23, 2004
    Inventor: Yoshihiko Okamoto
  • Publication number: 20040180300
    Abstract: Disclosed herein is a composition and method for semiconductor processing. In one embodiment, a wet-cleaning composition for removal of photoresist is provided. The composition comprises a strong base; an oxidant; and a polar solvent. In another embodiment, a method for removing photoresist is provided. The method comprises the steps of applying a wet-cleaning composition comprising about 0.1 to about 30 weight percent strong base; about one to about 30 weight percent oxidant; about 20 to about 95 weight percent polar solvent; and removing the photoresist.
    Type: Application
    Filed: March 14, 2003
    Publication date: September 16, 2004
    Inventors: David W. Minsek, Melissa K. Murphy, David Daniel Bernhard, Thomas H. Baum
  • Patent number: 6787293
    Abstract: A photoresist residue remover composition is provided that includes one type or two or more types of fluoride compound and one type or two or more types chosen from the group consisting of glyoxylic acid, ascorbic acid, glucose, fructose, lactose, and mannose (but excluding one that includes ammonium fluoride, a polar organic solvent, water, and ascorbic acid). There is also provided use of the photoresist residue remover composition for removing a photoresist residue and a sidewall polymer remaining after dry etching and after ashing.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: September 7, 2004
    Assignees: Kanto Kagaku Kabushiki Kaisha, NEC Electronics Corporation
    Inventors: Takuo Oowada, Norio Ishikawa, Hidemitsu Aoki, Kenichi Nakabeppu, Yoshiko Kasama
  • Patent number: 6783919
    Abstract: The invention relates to a TFT-LCD high-performance stripper composition for a photoresist, and more particularly to a stripper composition for a photoresist comprising: 20-60 wt % of monoethanolamine, 15-50 wt % of N,N-dimethylacetamide, 15-50 wt % of carbitol, and 0.1-10 wt % of gallic acid. The invention also provides a stripper composition for a photoresist comprising: 20-60 wt % of monoethanolamine, 15-50 wt % of N,N-dimethylacetamide, and 15-50 wt % of carbitol. The stripper composition for a photoresist of the invention significantly reduces stripping time when applied to the TFT-LCD manufacturing process and leaves no impurity particles. By allowing the hard baking and ashing processes to be omitted, the gate process line can be simplified, which enables cost reduction. In addition, when it is applied to a process wherein silver (Ag) is used as reflective/transflective layer, it offers stripping ability and corrosion resistance of the pure Ag layer.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: August 31, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sik Park, Sung-Chul Kang, Hong-Je Cho, An-Na Park
  • Publication number: 20040161711
    Abstract: Stable non-photosensitive polyimide precursor compositions with an adhesion promoter in a non-NMP solvent for use in forming high temperature resistant relief images and a process for making said images.
    Type: Application
    Filed: December 10, 2003
    Publication date: August 19, 2004
    Applicant: ARCH SPECIALTY CHEMICALS, INC.
    Inventors: Ilya Rushkin, Ahmad A. Naiini, William D. Weber, Don Perry, Richard Hopla
  • Patent number: 6777173
    Abstract: H2O vapor is used as a processing gas for stripping photoresist material from a substrate having a patterned photoresist layer previously used as an ion implantation mask, wherein the patterned photoresist layer is defined by a photoresist crust covering a bulk photoresist portion. Broadly speaking, the H2O vapor is demonstrated to more efficiently strip the photoresist material having a cross-linked photoresist crust without causing the photoresist crust to pop and without causing the bulk photoresist to be undercut. Thus, H2O vapor provides a safe, efficient, and economical processing gas for stripping photoresist material having a photoresist crust resulting from an ion implantation process.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: August 17, 2004
    Assignee: LAM Research Corporation
    Inventors: Anthony Chen, Gladys So-Wan Lo
  • Patent number: 6777380
    Abstract: A composition for the stripping of photoresist and the cleaning of residues from substrates, and for silicon oxide etch, comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 10 percent by weight to about 95% by weight of a sulfoxide or sulfone solvent, and from about 20 percent by weight to about 50 percent by weight water. The composition may contain corrosion inhibitors, chelating agents, co-solvents, basic amine compounds, surfactants, acids and bases.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: August 17, 2004
    Assignee: EKC Technology, Inc.
    Inventors: Robert J. Small, Bakul P. Patel, Wai Mun Lee, Douglas Holmes, Jerome Daviot, Chris Reid
  • Publication number: 20040157171
    Abstract: A photo-resist mask of organic compound is stripped off after the pattern transfer to a layer thereunder, wherein the photo-resist mask is firstly exposed to vapor of organic solvent for reducing the thickness through a reflow, and, thereafter, the photo-resist mask is ashed in an oxygen plasma, whereby the dry ashing is completed within a short time period by virtue of the reduction of thickness.
    Type: Application
    Filed: February 9, 2004
    Publication date: August 12, 2004
    Applicant: NEC LCD Technologies Ltd.
    Inventor: Shusaku Kido
  • Publication number: 20040157170
    Abstract: A low temperature plasma ashing process for use with substrates comprising a ferroelectric material. The process generally includes plasma ashing the photoresist and residues at a temperature of about room temperature to about 140° C., wherein the plasma is generated from a gas mixture consisting essentially of hydrogen and an inert gas, and wherein the ferroelectric material is exposed to the plasma.
    Type: Application
    Filed: February 11, 2003
    Publication date: August 12, 2004
    Inventors: Carlo Waldfried, Qingyuan Han, Orlando Escorcia, Ebrahim Andideh
  • Patent number: 6773861
    Abstract: A UV absorbent represented by the following formula (1) [R1 represents an alkenyl group, all of R1 represent the same group, and R2, R3 and R4 independently represent a hydrogen atom, an alkyl group, an alkoxy group, or a halogen atom], a method of preparing the UV absorbent due to a reaction of a compound represented by the following formula (2) and an alkenylating agent represented by the following formula (3) in the presence of a base [R2, R3 and R4 independently represent a hydrogen atom, an alkyl group, an alkoxy group, or a halogen atom, and X represents a halogen atom, —OSO2R5 or —OSO2OR1, and R5represents an alkyl group or an aryl group], a composition containing therein the UV absorbent, and an image forming method using the composition:
    Type: Grant
    Filed: May 29, 2002
    Date of Patent: August 10, 2004
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Masanobu Takashima, Hideaki Itou
  • Patent number: 6773873
    Abstract: A semi-aqueous cleaning formulation useful for removing particles from semiconductor wafer substrates formed during a dry etching process for semiconductor devices, the cleaning formulation comprising a buffering system a polar organic solvent, and a fluoride source.
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: August 10, 2004
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Ma. Fatima Seijo, William A. Wojtczak, David Bernhard, Thomas H. Baum, David Minsek
  • Patent number: 6770426
    Abstract: A method for removing organic material in the fabrication of structures includes providing a substrate assembly having an exposed organic material and removing at least a portion of the exposed organic material using a composition including sulfur trioxide (SO3) in a supercritical state. For example, the exposed organic material may be selected from the group of resist material, photoresist residue, UV-hardened resist, X-ray hardened resist, carbon-fluorine containing polymers, plasma etch residues, and organic impurities from other processes. Further, organic material removal compositions for performing such methods are provided.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: August 3, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Publication number: 20040146803
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a composition having a sacrificial polymer and a photoacid generator.
    Type: Application
    Filed: October 31, 2003
    Publication date: July 29, 2004
    Inventors: Paul A. Kohl, Paul Jayachandran Joseph, Hollie Reed, Sue Bidstrup-Allen, Celesta E. White, Clifford Henderson
  • Patent number: 6767698
    Abstract: A method and system (100) for the stripping of photoresist layers using an electrostatically shielded RF (ESRF) plasma for the reduction of a crust and then the removal of the softened photoresist in an ESRF plasma. By varying the temperature during the two steps the method and system further provides the processing parameters for the needs of the stripping reaction.
    Type: Grant
    Filed: August 20, 2002
    Date of Patent: July 27, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Wayne L. Johnson
  • Patent number: 6764810
    Abstract: A method for improving a photolithographic patterning process in a dual damascene process including providing at least one via opening in a substrate including a low dielectric constant material; blanket depositing a photo-sensitive resinous layer to fill the at least one via opening; partially removing the photo-sensitive resinous layer to form an at least partially filled via plug; photo-curing the via plug such that an activating light source causes a polymer cross-linking chemical reaction; and, forming a trench line opening disposed substantially over the at least one via opening using a trench line photoresist to pattern the trench line opening.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: July 20, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Ching-Tien Ma, Tsung-Chuan Chen, Chun-Liang Fan
  • Publication number: 20040131980
    Abstract: A pattern forming method comprises forming a photosensitive resin film on a substrate, exposing the photosensitive resin film, forming a pattern of the photosensitive resin film by supplying a developing solution to the photosensitive resin film, and slimming to remove a surface layer of the pattern by causing the pattern to contact with an activated water.
    Type: Application
    Filed: July 23, 2003
    Publication date: July 8, 2004
    Inventors: Kei Hayasaki, Shinichi Ito, Tomoyuki Takeishi, Kenji Kawano, Taksuhiko Ema
  • Patent number: 6759179
    Abstract: Methods and systems are disclosed for reducing resist residue defects in a semiconductor manufacturing process. The methods comprise appropriate adjustment of hardware, substrate, resist, developer, and process variables in order to remove resist residues from a semiconductor substrate structure in order to reduce resist residue defects therein, including special vapor prime and development operations.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: July 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khoi A. Phan, Jeffrey Erhardt, Jerry Cheng, Richard J. Bartlett, Anthony P. Coniglio, Wolfram Grundke, Carol M. Bradway, Daniel E. Sutton, Martin Mazur
  • Publication number: 20040126676
    Abstract: A method of manufacturing color filter comprising the steps of forming a metal layer and a photoresistor layer on a substrate; defining a dent over the photoresistor layer and removing the metal layer below the dent; depositing an optical filter material over the resulting structure at relative high temperature, lift off the photoresistor layer by etching the metal layer. The optical filter material can be fabricated at higher temperature (300-400° C.) than conventional cold process, the extinction ratio of the filter made by the optical filter material can be as high as 90% to enhance the performance of the projector system.
    Type: Application
    Filed: December 27, 2002
    Publication date: July 1, 2004
    Applicant: PREMIER IMAGE TECHNOLOGY CORPORATION
    Inventors: Eric Wang, Robin Shyu, Jim Chung, Michael Kuo
  • Patent number: 6756187
    Abstract: A photo-resist mask of organic compound is stripped off after the pattern transfer to a layer thereunder, wherein the photo-resist mask is firstly exposed to vapor of organic solvent for reducing the thickness through a reflow, and, thereafter, the photo-resist mask is ashed in an oxygen plasma, whereby the dry ashing is completed within a short time period by virtue of the reduction of thickness.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: June 29, 2004
    Assignee: NEC LCD Technologies, Ltd.
    Inventor: Shusaku Kido
  • Publication number: 20040121269
    Abstract: A method of removing resinous organic material over a semiconductor process surface including providing a semiconductor wafer having a process surface comprising a resinous organic material; and, exposing the process surface to a supercritical CO2 containing medium further comprising at least a first solvent for a predetermined period to produce a substantially resinous organic material free and undamaged process surface.
    Type: Application
    Filed: December 18, 2002
    Publication date: June 24, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co.; Ltd.
    Inventors: Ai-Sen Liu, Chun-Hsien Lin
  • Patent number: 6753130
    Abstract: A method for patterning a carbon-containing substrate utilizing a patterned layer of a resist material as a mask and then safely removing the mask from the substrate without adversely affecting the substrate, comprising sequential steps of: (a) providing a substrate including a surface comprising carbon; (b) forming a thin metal layer on the substrate surface; (c) forming a layer of a resist material on the thin metal layer; (d) patterning the layer of resist material; (e) patterning the substrate utilizing the patterned layer of resist material as a pattern-defining mask; and (f) removing the mask utilizing the thin metal layer as a wet strippable layer or a plasma etch/ash stop layer.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: June 22, 2004
    Assignee: Seagate Technology LLC
    Inventors: Jianwei Liu, David Shiao-Min Kuo, Li-Ping Wang
  • Patent number: 6753133
    Abstract: A method for fabricating a semiconductor device includes the following steps. At first, a Ru or RuO2 film and a SiO2 layer are formed over a Si substrate in that order. Then, a resist pattern is formed on the SiO2 layer and is then provided as a mask to etch the SiO2 layer to form a contact hole. The Ru or RuO2 film is exposed at the bottom of the contact hole. Subsequently, a plasma ashing is performed using an ashing gas prepared by mixing O2 with N2 where the composition ratio of N2 is 50% or more at a substrate temperature of 200° C. or more for ashing the resist pattern. Consequently, the present invention allows the ashing of the resist pattern over the Ru or RuO2 film at a high selectivity to prevent the Ru or RuO2 film from becoming disappeared.
    Type: Grant
    Filed: March 7, 2002
    Date of Patent: June 22, 2004
    Assignee: Elpida Memory, Inc.
    Inventors: Yasuhiro Ono, Sota Shinohara