Removal Of Imaged Layers Patents (Class 430/329)
  • Publication number: 20030064326
    Abstract: A resist stripper has a composition including a highly polar solvent containing at least one member selected from the group consisting of N-methyl-pyrrolidone(N-methyl-2-pyrrolidone), N,N-dimethyl-acetamide, dimethyl-formamide, and N,N-methyl-formamide, and an amine compound soluble in water. A resist film used and its modified hardened portion are stripped and removed by this resist stripper.
    Type: Application
    Filed: March 27, 2002
    Publication date: April 3, 2003
    Applicant: FUJITSU LIMITED
    Inventors: Noboru Yamamoto, Hideya Hashii
  • Publication number: 20030063553
    Abstract: A manufacturing method of a stamper for optical information medium comprises forming a photoresist layer on a substrate, irradiating the photoresist layer with laser beam to form a latent image thereon, developing the latent image so that a protrusion/depression pattern is formed to produce a photoresist master, and then transferring the protrusion/depression pattern to a metal film, wherein a photoresist master having an organic material layer provided in contact with the photoresist layer between the substrate and the photoresist layer is used.
    Type: Application
    Filed: September 6, 2002
    Publication date: April 3, 2003
    Applicant: TDK CORPORATION
    Inventors: Hisaji Oyake, Hiroaki Takahata
  • Publication number: 20030054296
    Abstract: A ruler or similar flat article is provided with an upstanding handle in the form of a decorative design. The ruler and handle may be formed by a photoetch or chemical milling process, with full etching through the metal at edges and partial etching at the design elements in the body portion and handle portion. The handle portion can be unitarily formed and bent at a partially etched join line, and then reinforced using a solder or a braze. Brass or another metal may be used. The partially etched design detail in the handle portion creates a textured surface that facilitates gripping.
    Type: Application
    Filed: September 19, 2001
    Publication date: March 20, 2003
    Inventor: David Howell
  • Publication number: 20030049568
    Abstract: A method for producing a structured layer on a semiconductor substrate includes the steps of creating the layer on the substrate, modifying a surface of the layer to form a chemically neutral surface, creating an acid-forming photoresist layer on the layer on the substrate, exposing the acid-forming photoresist layer to light for embodying an acid-containing layer in the photoresist layer in accordance with a specified structure of a photoexposure mask, and selectively removing the acid-containing region of the photoresist layer with a lye. The method further includes modifying the surface of the foundation layer for reducing degradation in structuring the acid-forming layer.
    Type: Application
    Filed: September 3, 2002
    Publication date: March 13, 2003
    Inventor: Mirko Vogt
  • Publication number: 20030049570
    Abstract: In the pattern formation method of the invention, a resist film made of a chemically amplified resist is formed on a substrate. The resist film is selectively exposed to light for pattern exposure. The pattern-exposed resist film is subjected to a developer, and the resultant resist film is rinsed with an alkaline rinsing liquid to form a resist pattern made of the resist film.
    Type: Application
    Filed: September 9, 2002
    Publication date: March 13, 2003
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Masayuki Endo, Masaru Sasago
  • Patent number: 6531266
    Abstract: A process for reworking a non-reflowed, defective microlens element shape, of an image sensor device, without damage to an underlying spacer layer, or to underlying color filter elements, has been developed. The non-reflowed, microlens element shape, if defective and needing rework, is first subjected to a high energy exposure, converting the non-reflowed, microlens element shape to a acid type, microlens shape, then removed using a base type developer solution. Prior to formation of a reworked microlens element shape a baking cycle is employed to freeze, or render inactive, any organic residue still remaining on the surface of the spacer layer, after the base type developer removal procedure. Formation of the reworked, microlens element shape, followed by an anneal cycle, results in the desired rounded, microlens element, on the underlying spacer layer.
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: March 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chih-Kung Chang, Kuang-Peng Lin, Yu-Kung Hsiao, Fu-Tien Weng, Bii-Junq Chang, Kuo-Liang Lu
  • Publication number: 20030044720
    Abstract: A method of forming a TFT-LCD device with a rough pixel electrode is disclosed. The method comprises the following steps. First, a first passivation layer is formed on the transparent insulator substrate to cover the transistor. The first passivation layer is etched to form contact holes therein to expose a source structure and a drain structure of the transistor. A pixel electrode is formed on the first passivation layer and filled into the contact holes to connect electrically to the drain structure. A second passivation layer is formed on the first passivation layer and the pixel electrode to cover uniformly the transistor for planarization. Then a lithography procedure is done to etch the second passivation layer to make surfaces thereof rough.
    Type: Application
    Filed: November 16, 2001
    Publication date: March 6, 2003
    Applicant: AU Optronics Corp.
    Inventor: Han-Chung Lai
  • Publication number: 20030045098
    Abstract: A method of a single wafer wet/dry cleaning apparatus comprising:
    Type: Application
    Filed: August 31, 2001
    Publication date: March 6, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, J. Kelly Truman, Christopher T. Lane
  • Patent number: 6517998
    Abstract: A method of removing a photoresist film with high efficiency of removal and friendliness with the environment while reducing material consumption and cost for a ventilation facility, and an apparatus used for the method. The method of removing a photoresist film in a sealed system, includes supplying a photoresist film-removal mixture containing an ozonized gas and a photoresist film-remover to a photoresist film on a surface of a substrate through a photoresist film-remover supplier opposed to the photoresist film.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: February 11, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Seiji Noda, Masaki Kuzumoto, Izumi Oya, Makoto Miyamoto
  • Patent number: 6517999
    Abstract: A method of removing photoresist film with high efficiency of removal and friendliness with the environment while reducing the material consumption and the cost for the ventilation facility, and an apparatus used for the method are provided.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: February 11, 2003
    Assignees: Shimada Rika Kougyo Kabushiki Kaisha, Mitsubishi Denki Kabushiki Kaisha
    Inventors: Izumi Oya, Seiji Noda, Makoto Miyamoto, Masaki Kuzumoto, Masashi Ohmori, Tatsuo Kataoka
  • Publication number: 20030027085
    Abstract: A method of removing a photoresist or a photoresist residue from a semiconductor substrate is disclosed. The semiconductor substrate with the photoresist or the photoresist residue on a surface of the semiconductor substrate is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a stripper chemical are introduced to the pressure chamber. The supercritical carbon dioxide and the stripper chemical are maintained in contact with the photoresist or the photoresist residue until the photoresist or the photoresist residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented.
    Type: Application
    Filed: September 25, 2002
    Publication date: February 6, 2003
    Inventor: William H. Mullee
  • Patent number: 6514672
    Abstract: A new method of forming a bi-layer photoresist mask with a reduced critical dimension bias between isolated and dense lines and reduced edge roughness is described. A layer to be etched is provided on a semiconductor substrate wherein the surface of the layer has an uneven topography. The layer to be etched is coated with a first planarized photoresist layer which is baked. The first photoresist layer is coated with a second silicon-containing photoresist layer which is baked. Portions of the second photoresist layer not covered by a mask are exposed to actinic light. The exposed portions of the second photoresist layer are developed away. Then, portions of the first photoresist layer not covered by the second photoresist layer remaining are developed away in a dry development step wherein sufficient SO2 gas is included in the developing recipe to reduce microloading to form a bi-layer photoresist mask comprising the first and second photoresist layers remaining.
    Type: Grant
    Filed: June 11, 2001
    Date of Patent: February 4, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Bao-Ju Young, Chia-Shiung Tsai, Ying-Ying Wang
  • Patent number: 6511547
    Abstract: A mixture of a dibasic ester (DBE), an alcohol, a polar solvent and water to remove photoresist from a flat panel substrate. Photoresist is effectively removed at low temperature with this non-phenolic, non-halogenated stripper solution.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: January 28, 2003
    Assignee: SiliconValley Chemlabs, Inc.
    Inventor: Javad J. Sahbari
  • Patent number: 6509141
    Abstract: A method of removing a photoresist or a photoresist residue from a semiconductor substrate is disclosed. The semiconductor substrate with the photoresist or the photoresist residue on a surface of the semiconductor substrate is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a stripper chemical are introduced to the pressure chamber. The supercritical carbon dioxide and the stripper chemical are maintained in contact with the photoresist or the photoresist residue until the photoresist or the photoresist residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: January 21, 2003
    Assignee: Tokyo Electron Limited
    Inventor: William H. Mullee
  • Patent number: 6503694
    Abstract: A developer solution and edge bead remover. composition includes: (A) water; (B) at least a basic compound; and (C) a non-ionic surfactant having the structure (I):  wherein: R1, R2, R3, R4 and R5 are selected from the group consisting of hydrogen, alkyl, aryl, aralkyl and halogen, and at least two of R1, R2, R3, R4 and RS are selected from the group consisting of aralkyl and aryl, R6 is selected from the group consisting of ethylene, propylene, and butylene, R7 is selected from the group consisting of hydrogen, acetyl, alkyl, and aryl, and n is an integer ranging from 6 to 23.
    Type: Grant
    Filed: June 12, 2001
    Date of Patent: January 7, 2003
    Assignees: Chi Mei Corporation, Chi Mei Optoelectronics Corporation
    Inventors: Chun-Hsien Li, Pei-Hua Sheng, Bo-Hsuan Lin, Yuan-Pin Lee, Chih-Neng Chang
  • Patent number: 6500605
    Abstract: A method of removing photoresist and residue from a substrate begins by maintaining supercritical carbon dioxide, an amine, and a solvent in contact with the substrate so that the amine and the solvent at least partially dissolve the photoresist and the residue. Preferably, the amine is a tertiary amine. Preferably, the solvent is selected from the group consisting of DMSO, EC, NMP, acetyl acetone, BLO, acetic acid, DMAC, PC, and a mixture thereof. Next, the photoresist and the residue are removed from the vicinity of the substrate. Preferably, the method continues with a rinsing step in which the substrate is rinsed in the supercritical carbon dioxide and a rinse agent. Preferably, the rinse agent is selected from the group consisting of water, alcohol, a mixture thereof, and acetone. In an alternative embodiment, the amine and the solvent are replaced with an aqueous fluoride.
    Type: Grant
    Filed: October 25, 2000
    Date of Patent: December 31, 2002
    Assignee: Tokyo Electron Limited
    Inventors: William H. Mullee, Maximilian A. Biberger, Paul E. Schilling
  • Patent number: 6495468
    Abstract: In one aspect, the invention provides a method of exposing a material from which photoresist cannot be substantially selectively removed utilizing photoresist. In still another aspect of the invention, laser ablation of photoresist is utilized. In one implementation, the invention comprises forming a first material over a substrate. Photoresist is deposited over the first material and an opening is formed within the photoresist over the first material. Etching is then conducted into the first material through the photoresist opening. After the etching, the photoresist is laser ablated from over the first material.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: December 17, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Shubneesh Batra
  • Patent number: 6495311
    Abstract: The magnetic tape recording head of the present invention is formed with magnetic poles that are comprised of a laminated NiFeN/FeN structure. The method for fabricating the magnetic poles utilizes an additive photolithographic technique including a bilayer liftoff resist. In this fabrication method magnetic pole trenches are formed in the bilayer liftoff resist such that an undercut exists in the liftoff layer. Thereafter, the laminated NiFeN/FeN structure is sputter deposited into the trench, followed by the wet chemical removal of the bilayer resist.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: December 17, 2002
    Assignee: International Business Machines Corporation
    Inventors: Mahbub R. Khan, Jane Ellyn Nealis, Alfred Floyd Renaldo, John David Westwood
  • Patent number: 6495312
    Abstract: A positive photoresist bead is removed from an edge surface of a substrate by exposing the photoresist bead with light from an exposing source along a plurality of non-parallel paths approximately normal to the surface of the photoresist bead. The light may be simultaneously directed by a light guide along the non-parallel paths, or a mount may support the light guide adjacent the bead to move the light guide to various positions to direct the light along the non-parallel paths. Alternatively, plural light sources direct light to the bead along non-parallel paths. In any case, the exposed photoresist bead is then removed with a solvent.
    Type: Grant
    Filed: June 12, 2001
    Date of Patent: December 17, 2002
    Assignee: LSI Logic Corporation
    Inventors: Roger Y. B. Young, Bruce Whitefield
  • Publication number: 20020187422
    Abstract: Antireflective compositions characterized by the presence of an SiO-containing polymer having pendant chromophore moieties are useful antireflective coating/hardmask compositions in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The compositions are especially useful in lithographic processes used to configure underlying material layers on a substrate, especially metal or semiconductor layers.
    Type: Application
    Filed: June 7, 2002
    Publication date: December 12, 2002
    Applicant: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Ari Aviram, C. Richard Guarnieri, Wu-Song Huang, Ranee Kwong, Wayne M. Moreau
  • Publication number: 20020182544
    Abstract: This invention relates to an electrophoretic display or a liquid crystal display and novel processes for its manufacture. The electrophoretic display (EPD) of the present invention comprises microcups of well-defined shape, size and aspect ratio and the microcups are filled with charged pigment particles dispersed in an optically contrasting dielectric solvent. The liquid crystal display (LCD) of this invention comprises well-defined microcups filled with at least a liquid crystal composition having its ordinary refractive index matched to that of the isotropic cup material. A novel roll-to-roll process and apparatus of the invention permits the display manufacture to be carried out continuously by a synchronized photo-lithographic process.
    Type: Application
    Filed: February 15, 2001
    Publication date: December 5, 2002
    Applicant: SiPix Imaging, Inc.
    Inventors: Mary Chan-Park , Xianhai Chen , Zarng-arh George Wu , Xiaojia Wang , Hong-Mei Zang , Rong-Chang Liang
  • Patent number: 6475707
    Abstract: A method of reworking a photoresist layer. A silicon chip having an insulation layer, a bottom anti-reflection coating and a photoresist layer thereon is provided. The photoresist layer has already been light-exposed and developed. A wet etching operation is carried out to remove a large portion of the photoresist layer. A low-temperature plasma treatment incapable of transforming the anti-reflection coating structure is conducted to remove the hardened residual photoresist material. A new photoresist layer is formed over the bottom anti-reflection coating.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: November 5, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Chia-Chieh Yu
  • Patent number: 6475708
    Abstract: The present invention is directed toward effective photoresist stripping compositions that are less corrosive and do not cause skin irritation. One form of the present invention is a composition useful as a photoresist remover that includes an alkylene carbonate, and one or more additional components chosen from the group that includes alkyl hydrogen peroxides, hydroxyalkyl ureas, urea-hydrogen peroxides, N-substituted morpholines and alcohols. Another form of the present invention is a composition for removing photoresist from a surface that includes an N-substituted morpholine.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: November 5, 2002
    Assignee: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Jr., Edward T. Marquis, Wheeler C. Crawford
  • Patent number: 6475703
    Abstract: A multilayer circuit board having air bridge crossover structures and an additive method for producing the same, wherein the circuit includes specially designed metallic fortifying layers to mechanically and/or electrically fortify the circuit.
    Type: Grant
    Filed: December 1, 1998
    Date of Patent: November 5, 2002
    Assignee: Visteon Global Technologies, Inc.
    Inventors: Delin Li, Achyuta Achari, Alice Dawn Zitzmann, Robert Edward Belke, Jr., Brenda Joyce Nation, Edward McLeskey, Mohan R. Paruchuri, Lakhi Nandlal Goenka
  • Patent number: 6472129
    Abstract: The fluorine-containing epoxy resin composition of the present invention comprises a fluorine-containing epoxy resin having in one molecule at least one perfluoroalkyl group having 6 to 12 carbon atoms and at least two alicyclic epoxy groups, and a cationic polymerization catalyst.
    Type: Grant
    Filed: March 8, 1999
    Date of Patent: October 29, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiromichi Noguchi, Akihiko Shimomura, Isao Imamura, Tamaki Sato
  • Patent number: 6472126
    Abstract: A process is provided for creating microstructure coupling guides for aligning photonic devices with optical signal carrying apparatuses. The process includes applying a photoresist to a semiconductor material, spinning the semiconductor material, baking the semiconductor material, exposing the photoresist, baking the semiconductor material a second time, and developing the resist. The process creates a microstructure that acts as an integral guide to align and maintain the relative position between an optical signal carrying apparatus and a photonic device.
    Type: Grant
    Filed: September 26, 2000
    Date of Patent: October 29, 2002
    Assignee: Gore Enterprise Holdings, Inc.
    Inventors: Robert F. Traver, Jr., Theodore D. Lowes, Mark N. Donhowe, Sean P. Kilcoyne
  • Publication number: 20020155392
    Abstract: There is provided an edge rinse apparatus and an edge rinse method in which a swelling of an end portion of a resist produced by an edge rinse is eliminated. The resist coated on an unnecessary portion is exposed and is developed by using the edge rinse apparatus including a substrate chuck for sucking and holding a substrate, a motor for rotating the substrate chuck, a nozzle for discharging a developing solution to a principal surface of the substrate, a nozzle for discharging the developing solution to a rear surface of the substrate, and an exposure means with the substrate chuck as a mask.
    Type: Application
    Filed: June 18, 2002
    Publication date: October 24, 2002
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tatsuya Arao
  • Publication number: 20020155391
    Abstract: There is disclosed, as an aspect, a method of forming a pattern which comprising coating a photosensitive resist film on a surface of substrate, subjecting the photosensitive resist film to an exposure process, coating an oxidizing liquid having an oxidative effect on a surface of the photosensitive resist film that has been subjected to the exposure process to thereby perform a pretreatment wherein the surface of the resist film is caused to oxidize by the oxidizing liquid to form an oxide layer thereon, feeding a developing solution to the photosensitive resist film whose surface has been oxidized to thereby perform a development of the resist film, and feeding a rinsing solution to a surface of the substrate to wash the substrate.
    Type: Application
    Filed: February 15, 2002
    Publication date: October 24, 2002
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Riichiro Takahashi, Kei Hayasaki, Shinichi Ito
  • Patent number: 6465356
    Abstract: The present invention relates to a method for fabricating a semiconductor device; and, more particularly, to a photoresist pattern to form a fine line width of about 0.1 &mgr;m or less. A method for forming fine photoresist patterns according to the present invention comprises the step of: forming photoresist patterns over a semiconductor substrate using a stepper; and ashing the photoresist patterns using oxygen radicals in order to decrease line width of the photoresist patterns. The oxygen radicals are formed by a thermal decomposition of an ozone gas in an ozone asher. Accordingly, the present invention overcomes the resolution of the stepper by controlling the ashing rate at a low temperature using the oxygen radicals in the ozone asher.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: October 15, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Gi-Hyeon Kim, Sang-Soo Park
  • Patent number: 6465156
    Abstract: The present invention relates to a method for mitigating formation of silicon grass. A silylation process is performed on a semiconductor structure, the structure including a photoresist layer, an underlayer under the photoresist layer, and a substrate under the underlayer. A chemical mechanical polishing process is employed to remove a portion of the photoresist layer.
    Type: Grant
    Filed: October 21, 1999
    Date of Patent: October 15, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Bharath Rangarajan, Steven Avanzino
  • Patent number: 6461776
    Abstract: Disclosed are methods for forming a resist pattern which solve a problem caused by halation and interference phenomena due to reflected light from the substrate. A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper interference film for the exposure light and a lower film having higher exposure light absorbance than the upper film and functions as a light shielding film. A third method forms between the substrate and resist film a two-layer anti-reflective film consisting of a lower film that reflects exposure light and an upper film that is an interference film for the exposure light.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: October 8, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Patent number: 6461800
    Abstract: A resist patterning method allows further fine patterning of semiconductor integrated circuits. First, a resist is formed on an underlying layer (1). The resist is selectively exposed, whereby an exposed portion and an unexposed portion are formed. Then, development processing of the resist is performed using a developing solution. In the development process, the resist in the exposed portion is removed at a first velocity and then the resist in the unexposed portion is removed at a second velocity lower than the first velocity, to thereby form a resist pattern (2).
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: October 8, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kouichirou Tsujita
  • Patent number: 6461801
    Abstract: A workpiece support or chuck that rapidly heats and cools a semiconductor workpiece is disclosed. A heat source and a cooling source, maintained at different temperatures, alternately communicate with the chuck. In one embodiment, the heat source and cooling source alternately provide relatively “hot” and “cold” heat transfer fluids to fluid channels within the workpiece chuck. Accordingly, a semiconductor workpiece in contact with the chuck rapidly heats to the temperature of the hot fluid, or rapidly cools to the temperature of the cold fluid, depending upon which fluid flowing through the chuck. In another embodiment, the heat source comprises a movable resistive heating block at a first temperature that is placed in contact with the chuck during heating, and is removed from the chuck while colder heat transfer fluid circulates within the chuck. Optionally, inert fluid can be provided to purge heat transfer fluid from the chuck channels between heating and cooling steps.
    Type: Grant
    Filed: May 26, 2000
    Date of Patent: October 8, 2002
    Assignee: Matrix Integrated Systems, Inc.
    Inventor: Albert Wang
  • Patent number: 6458518
    Abstract: A photoresist stripper composition is formed of a mixture of acetone, &ggr;-butyrolactone, and ester solvent. A photoresist stripping method includes spraying the photoresist stripper composition over a substrate while rotating the substrate at a relatively low speed, so as to strip photoresist from the substrate. The rotation of the substrate is stopped for a short period of time, and thereafter the photoresist stripper composition is again sprayed over the substrate while rotating the substrate at a relatively high speed. Then, the substrate is rinsed with pure water.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: October 1, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-sik Moon, Mi-sook Jeon, Pil-kwon Jun, June-ing Kil, Je-eung Park, Sang-mun Chun
  • Patent number: 6458517
    Abstract: A photoresist stripping composition comprises (1) a nitrogen-containing organohydroxyl compound, (2) an alkylene glycol monoalkyl ether represented by the general formula: HO—(CpH2pO)q—R, wherein R is C1-C4 alkyl, p is 2 or 3, and q is 1, 2 or 3, (3) sugar or sugar alcohol, (4) a phosphorus-containing compound and (5) water. The photoresist stripping composition easily removes photoresist films on the inorganic substrate, and patterned photoresist films and photoresist residues remaining after etching and photoresist residues in a short period of time without corroding semiconductive materials, circuit-forming materials, insulating materials, etc.
    Type: Grant
    Filed: March 28, 2000
    Date of Patent: October 1, 2002
    Assignees: Sharp Kabushiki Kaisha, Mitsubishi Gas Chemical Company Inc.
    Inventors: Masahiro Nohara, Yukihiko Takeuchi, Hisaki Abe, Taketo Maruyama, Tetsuo Aoyama
  • Patent number: 6455232
    Abstract: A method of stripping a photoresist layer in a plasma derived from an etch gas for the photoresist and a fluorine-containing polymer includes a scavenging gas for fluorine in the resist strip etch plasma. The scavenger for flourine reduces the amount of fluorine released from a fluorine-containing polymer into the resist etch plasma during polymer dissociation in the photoresist stripping step, thereby providing a photoresist stripping mechanism with reduced stop layer loss.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: September 24, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Jason Lin, Stefan Jenq, Eric Ou-Yang, Gilbert Tsai
  • Publication number: 20020132194
    Abstract: A method for fabricating a semiconductor device includes the following steps. At first, a Ru or RuO2 film and a SiO2 layer are formed over a Si substrate in that order. Then, a resist pattern is formed on the SiO2 layer and is then provided as a mask to etch the SiO2 layer to form a contact hole. The Ru or RuO2 film is exposed at the bottom of the contact hole. Subsequently, a plasma ashing is performed using an ashing gas prepared by mixing O2 with N2 where the composition ratio of N2 is 50% or more at a substrate temperature of 200° C. or more for ashing the resist pattern. Consequently, the present invention allows the ashing of the resist pattern over the Ru or RuO2 film at a high selectivity to prevent the Ru or RuO2 film from becoming disappeared.
    Type: Application
    Filed: March 7, 2002
    Publication date: September 19, 2002
    Applicant: NEC CORPORATION
    Inventors: Yasuhiro Ono, Sota Shinohara
  • Publication number: 20020127500
    Abstract: A photoresist stripper composition is formed of a mixture of acetone, &ggr;-butyrolactone, and ester solvent. A photoresist stripping method includes spraying the photoresist stripper composition over a substrate while rotating the substrate at a relatively low speed, so as to strip photoresist from the substrate. The rotation of the substrate is stopped for a short period of time, and thereafter the photoresist stripper composition is again sprayed over the substrate while rotating the substrate at a relatively high speed. Then, the substrate is rinsed with pure water.
    Type: Application
    Filed: January 15, 2002
    Publication date: September 12, 2002
    Inventors: Sang-Sik Moon, Mi-Sook Jeon, Pil-Kwon Jun, June-Ing Kil, Je-Eung Park, Sang-Mun Chun
  • Publication number: 20020119403
    Abstract: A photoresist pattern is formed, without being exposed, by using photoresist having a residual layer proportion characteristic by which the photoresist dissolves at a suitable rate in a developing solution. First, a target layer to be patterned and a photoresist layer are sequentially formed on a substrate having a pattern that defines a step on the substrate. Some of the photoresist layer is treated with the developing solution, to thereby form a photoresist pattern whose upper surface is situated beneath the step and hence, exposes part of the target layer. Next, the exposed part of the target layer, and the photoresist pattern are removed. A silicidation process may be carried out thereafter on the area(s) from which the target layer has been removed. The method is relatively simple because it does not involve an exposure process. Furthermore, the method can be used to manufacture devices having very fine linewidths, i.e.
    Type: Application
    Filed: December 21, 2001
    Publication date: August 29, 2002
    Inventors: Ji-Yong Yoo, Dae-Youp Lee, Jeung-Woo Lee, Suk-Joo Lee, Jae-Han Lee
  • Patent number: 6440639
    Abstract: A high-aspect ratio resist profile is obtained using a development process wherein a mixture of an alcohol and water is used as the developer. The alcohol/water mixture is non-toxic, and does not cause excess swelling and cracking of the resist during the development process.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: August 27, 2002
    Assignee: International Business Machines Corporation
    Inventors: Robert E. Fontana, Jr., Jordan A. Katine, Ernst Kratschmer, Michael J. Rooks, Ching H. Tsang, Raman Gobichettipalayam Viswanathan
  • Patent number: 6440646
    Abstract: A positive resist composition contains (A) a novolak resin having a weight average molecular weight calculated as polystyrene of 2,000-20,000 wherein 2.5-27 mol % of the hydrogen atom of a hydroxyl group is replaced by a 1,2-naphthoquinonediazidosulfonyl group and (B) a low molecular aromatic compound having phenolic hydroxyl groups and 2-20 benzene rings wherein the ratio of the number of phenolic hydroxyl groups to the number of benzene rings is between 0.5 and 2.5. By forming a resist layer on a substrate from the positive resist composition and baking the resist layer at 90-130° C., followed by exposure and development, there is formed a resist pattern having an undercut of desired configuration. Owing to high resolution and improved dimensional control, heat resistance and film retention, the resist pattern lends itself to a lift-off technique.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: August 27, 2002
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takafumi Ueda, Hideto Kato, Toshihiko Fujii, Miki Kobayashi
  • Patent number: 6440647
    Abstract: A process for removing patterned negative working resist from the surface of a substrate during manufacture of printed wiring boards is disclosed. The process includes the steps of contacting the patterned resist with a stripping solution containing an alkalinity source as well as a source of ammonium ions. The stripping solution is characterized in that it does not contain volatile organic compounds (VOCs).
    Type: Grant
    Filed: October 3, 2000
    Date of Patent: August 27, 2002
    Assignee: Alpha Metals, Inc.
    Inventor: Eric Yakobson
  • Publication number: 20020115025
    Abstract: A method of removing photoresist film with high efficiency of removal and friendliness with the environment while reducing the material consumption and the cost for the ventilation facility, and an apparatus used for the method are provided. Particularly the present invention provides a method of removing a photoresist film by in a sealed system, evenly and continuously or intermittently supplying a photoresist film-removable mixture containing an ozonized gas and a photoresist film-remover to a photoresist film formed on a surface of a substrate through a photoresist film-remover supplier arranged as opposed to the photoresist film. The present invention also provides an apparatus used for the method.
    Type: Application
    Filed: April 30, 2002
    Publication date: August 22, 2002
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Seiji Noda, Masaki Kuzumoto, Izumi Oya, Makoto Miyamoto
  • Publication number: 20020115024
    Abstract: A method of removing photoresist film with high efficiency of removal and friendliness with the environment while reducing the material consumption and the cost for the ventilation facility, and an apparatus used for the method are provided.
    Type: Application
    Filed: April 30, 2002
    Publication date: August 22, 2002
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Izumi Oya, Seiji Noda, Makoto Miyamoto, Masaki Kuzumoto, Masashi Ohmori, Tatsuo Kataoka
  • Patent number: 6436609
    Abstract: A photolithographic apparatus for rapidly processing semiconductor wafers. In the photolithographic apparatus, a plurality of steppers are in parallel connected to one coater/developer. The steppers are in parallel connected to one coater/developers, where the steppers process the semiconductor wafer at a low speed and the coater/developers process the semiconductor wafer at a high speed, to thereby remove a bottleneck phenomenon. Therefore, the photolithographic apparatus according to the present invention may rapidly perform a photolithography process.
    Type: Grant
    Filed: May 4, 2000
    Date of Patent: August 20, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-sin Park
  • Patent number: 6432622
    Abstract: A photoresist stripper composition is formed of a mixture of acetone, &ggr;-butyrolactone, and ester solvent. A photoresist stripping method includes spraying the photoresist stripper composition over a substrate while rotating the substrate at a relatively low speed, so as to strip photoresist from the substrate. The rotation of the substrate is stopped for a short period of time, and thereafter the photoresist stripper composition is again sprayed over the substrate while rotating the substrate at a relatively high speed. Then, the substrate is rinsed with pure water.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: August 13, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-sik Moon, Mi-sook Jeon, Pil-kwon Jun, June-ing Kil, Je-eung Park, Sang-mun Chun
  • Patent number: 6427596
    Abstract: A method for correcting mistakes and other imperfections in printing members is disclosed. Ink accepting areas of the printing member are rendered non-ink accepting by treatment with a deletion fluid. Deletion fluids include, for example, acids, alkalis, and oxidizing formulations. A preferred deletion fluid is concentrated sulfuric acid.
    Type: Grant
    Filed: November 16, 1999
    Date of Patent: August 6, 2002
    Assignee: Kodak Polychrome Graphics, LLC
    Inventors: Harjit S. Bhambra, Robert M. Organ, Kelvin Dickinson
  • Publication number: 20020102482
    Abstract: An apparatus and method for manufacturing and using a calibrated registration reference wafer in a semiconductor manufacturing facility. A reference reticle consisting of a 2-dimensional array of standard alignment attributes is exposed several times onto a photoresist coated semiconductor wafer using a photolithographic exposure tool. After the final steps of the lithographic development process the resist patterned wafer is physically etched using standard techniques to create a permanent record of the alignment attribute exposure pattern. The permanently recorded alignment attributes are measured for placement error using a conventional overlay metrology tool. The resulting overlay error data is used to generate a calibration file that contains the positions of the alignment attributes on the reference wafer. The reference wafer and calibration file can be used to determine the wafer stage registration performance for any photolithographic exposure tool.
    Type: Application
    Filed: December 7, 2001
    Publication date: August 1, 2002
    Inventors: Adlai Smith, Bruce McArthur, Robert Hunter
  • Patent number: 6423480
    Abstract: A remover composition obtained by adding 1 to 90% by weight of a cyclic urea compound represented by the following general formula (I): wherein, each of R1 and R2 independently represents a hydrogen atom, hydroxyl group, carboxyl group or alkyl group which may be substituted, and Z represents an oxygen atom or sulfur atom.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: July 23, 2002
    Assignee: Sumitomo Chemical Company, Limited
    Inventor: Naoki Ichiki
  • Patent number: 6423479
    Abstract: In one embodiment, the present invention relates to a method of processing a lithography mask, involving the steps of exposing a lithography substrate with actinic radiation through the lithography mask in a chamber; removing the lithography mask from the chamber, wherein the lithography mask contains carbon contaminants; and contacting the lithography mask with sulfur trioxide thereby reducing the carbon contaminants thereon.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: July 23, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Khoi A. Phan, Bharath Rangarajan, Bhanwar Singh, Sanjay K. Yedur, Bryan K. Choo