Electron Beam Patents (Class 430/942)
  • Patent number: 5916733
    Abstract: A method of fabricating a semiconductor device includes steps of forming a first insulating film, a conductive film, a second insulating film and a selected film in sequence on a substrate. Next, a first resist pattern is formed in a first region on the selected film by means of photo-lithography. The selected film is patterned by using the first resist pattern, after which the first resist pattern is removed. Next, a second resist pattern is formed in a second region on the surface of at least the second insulating film by means of electron beam lithography. The second insulating film is patterned by using the second resist pattern, after which the second resist pattern is removed. The conductive film is then patterned by using the patterned second insulating film as a mask. The selected film is provided with an etching characteristic substantially the same as that of the conductive film so that remaining portions thereof are removed during the conductive film patterning step.
    Type: Grant
    Filed: December 10, 1996
    Date of Patent: June 29, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Haruhiko Koyama
  • Patent number: 5908732
    Abstract: Positive lithographic patterns are produced by imagewise exposing to actinic light, x-ray or e-beam copolymers of 2-hydroxyalkyl methacrylate and/or 2-hydroxyalkyl acrylate with alkylmethacrylate and/or alkylacrylate, and then developing the polymer in a developer.
    Type: Grant
    Filed: September 23, 1996
    Date of Patent: June 1, 1999
    Assignee: International Business Machines Corporation
    Inventors: Ari Aviram, Karen Elizabeth Petrillo, Andrew T. S. Pomerene, David Earle Seeger
  • Patent number: 5908733
    Abstract: To provide a method of and an apparatus for electron beam exposure convenient to be applied to the hybrid exposure of a pattern with ultra-fine design rules, a method of electron beam exposure according to an embodiment of the invention comprises: a primary field dividing step (S2) for dividing a second pattern to be drawn into a plurality of fields having a size whereon an electron beam can be radiated with negligible biasing distortion; a primary fitting step for performing a fitting process (S3) for each of the plurality of fields, wherein cubic compensation equations are adjusted to fit compensation values with the optical distortion, and a fitting error after compensation being calculated; a primary field dividing step for performing a field dividing process (S5) for each of the plurality of fields whereof the fitting error is larger than a predetermined allowable range, wherein a concerning field is divided into a pair of sub fields and the fitting process is performed for each of the sub fields; and a
    Type: Grant
    Filed: October 17, 1997
    Date of Patent: June 1, 1999
    Assignee: NEC Corporation
    Inventor: Naka Onoda
  • Patent number: 5895736
    Abstract: An electron beam lithography system radiates an electron beam uniform in beam current density through apertures formed in an aperture plate to an electron resist layer, and steps are formed in the outlet end portions of the apertures so as to decrease the beam current density of a peripheral portion of the incident electron beam, thereby preventing the electron resist layer from the proximity effect.
    Type: Grant
    Filed: March 17, 1997
    Date of Patent: April 20, 1999
    Assignee: NEC Corporation
    Inventor: Ken Nakajima
  • Patent number: 5894057
    Abstract: The present invention provides a charged beam drawing method comprising a first step of setting a stripe field independent of drawing pattern definition data and of determining the drawing pattern definition data which belongs to the stripe field set, a second step of setting a sub-field independent of the drawing pattern definition data and of determining the drawing pattern definition data which belongs to the sub-field, among the drawing pattern definition data determined, a third step of drawing the drawing pattern definition data which belongs to the sub-field onto an object to be subjected to drawing, a fourth step of shifting a position of the stripe field by a first predetermined value, and of shifting a position of the sub-field by a second predetermined value, and a fifth step of repeating the first to fourth steps for at least two times.
    Type: Grant
    Filed: July 3, 1997
    Date of Patent: April 13, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshio Yamaguchi, Kazuto Matsuki, Shuichi Tamamushi, Souji Koikari, Eiji Murakami, Shigehiro Hara
  • Patent number: 5888682
    Abstract: Methods and apparatus for accurately performing proximity-effect compensation exposure are disclosed, even in cases where negative-type particle-beam-sensitive resist material is used. On a compensation mask, regions corresponding to regions on an underlying wafer that are to receive die patterns are subdivided into a multiple subfields having a pitch smaller than the spread width of particles back-scattered from the wafer 7. Certain of the subfields on the compensation mask define an aperture allowing passage therethrough of a particle beam. The aperture sizes are not uniform. Rather, each aperture is equal in area to an area of the nominally unexposed regions of the subfield less a prescribed constant area. The particle beam, after passing through a beam-shaping aperture, irradiates the compensation mask via an objective lens, and is scanned across the compensation mask by deflectors.
    Type: Grant
    Filed: December 27, 1996
    Date of Patent: March 30, 1999
    Assignee: Nikon Corporation
    Inventor: Mamoru Nakasuji
  • Patent number: 5888699
    Abstract: Disclosed herein is a pattern transfer method wherein a beam transmitting portion which transmits a charged particle beam and a beam limiting portion which scatters or absorbs the charged particle beam to a greater extent than the beam transmitting portion are disposed in a pattern area of a mask according to a pattern to be transferred onto a radiation-sensitive substrate. The pattern area is irradiated with the charged particle beam, and at least a part of the charged particle beam passing through the mask is led to the substrate to transfer the pattern onto the substrate. When the pattern area is irradiated with the charged particle beam, the dose of charged particle beam applied per unit area of the beam limiting portion is reduced to a quantity smaller than the dose of charged particle beam applied per unit area of the beam transmitting portion.
    Type: Grant
    Filed: June 26, 1996
    Date of Patent: March 30, 1999
    Assignee: Nikon Corporation
    Inventor: Teruaki Okino
  • Patent number: 5885747
    Abstract: A charged beam lithography method comprising the method steps shown in the Figure below.
    Type: Grant
    Filed: August 26, 1996
    Date of Patent: March 23, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Satoshi Yamasaki, Shuichi Tamamushi, Hirohito Anze
  • Patent number: 5885748
    Abstract: A correcting method and a correcting apparatus which consider a proximity effect when drawing a pattern of a photomask by an energy beam such as an electron beam or a light proximity effect when performing exposure by using a photomask and obtaining a transfer pattern and can correct the pattern data so that the finally obtained transfer pattern becomes close to the designed pattern even if they occur. Where there is another pattern at the periphery of a pattern in a certain mesh at a center which is subjected to mesh registration, it is decided that a mutual proximity effect will occur at the time of drawing, only a part of the patterns in which it can be considered that the mutual proximity effect will occur are subdivided, and the dosage amount data at drawing are assigned to individual subdivided patterns.
    Type: Grant
    Filed: June 9, 1998
    Date of Patent: March 23, 1999
    Assignee: Sony Corporation
    Inventor: Hidetoshi Ohnuma
  • Patent number: 5879844
    Abstract: Pattern data that is an object of correction is divided into an area on which correction is made using correction values that have been obtained in advance for patterns and their respective layouts and an area on which correction is made on the basis of correction values calculated by a simulator. For example, simulation-based correction is made on a gate layer in a memory, while rule-based correction is made on a gate layer in the other area than the memory on the basis of rules for active gate width only. After being subjected to the correction, the areas are combined.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: March 9, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuko Yamamoto, Sachiko Miyama, Kiyomi Koyama, Soichi Inoue
  • Patent number: 5879860
    Abstract: A method of writing a pattern on a substrate by a deflectable electron beam, in particular a pattern containing very fine features such as nanostructures, is carried out by dividing the pattern into at least two fields of differing size (15, 17) which are arranged one inside the other and have a common center (18) arranged at the central axis of the beam at which the beam has an undeflected setting, with the finer or finest pattern features contained in the inner field (15). The pattern is written by keeping the substrate stationary and writing the two fields in succession with a change in writing resolution of the beam on transition from one field to the next such that a finer step size is used for an inner field than for an outer field.
    Type: Grant
    Filed: June 7, 1996
    Date of Patent: March 9, 1999
    Assignee: Leica Microsystems Lithography Limited
    Inventors: Grahame Craig Rosolen, Peter Gerald Mitchell
  • Patent number: 5876902
    Abstract: A hybrid exposure strategy for pattern generation uses wide field raster scan deflection and a uniformly moving stage to expose long stripes. Periodic analog wide field magnetic scan is augmented by a high speed electrostatic retrograde scan to keep the beam stationary during exposure of rectangular flash fields. The system's data path utilizes a pattern represented in a rasterized format. Intermediate vector data bases are created using fracture rules that limit feature and hierarchical cell size of to be smaller than overlapping fringes of stripe data fields. Rectangular flash fields are employed with each field being a 1 by n array of writing pixels. The length, origin position and dose of line shaped beam flashes can be varied to allow patterns to be exposed on a design grid much smaller than a writing pixel. The length, origin position and dose data for each flash is derived from a rasterized data format using a decoder device.
    Type: Grant
    Filed: January 28, 1997
    Date of Patent: March 2, 1999
    Assignee: Etec Systems, Inc.
    Inventors: Lee H. Veneklasen, William DeVore, R. L. Smith, Robin Teitzel
  • Patent number: 5876901
    Abstract: The method for fabricating a semiconductor device according to the present includes the steps of:forming an opening in an electron beam resist layer formed on a semiconductor substrate;forming an opening in a photoresist layer formed on the electron beam resist layer in such a manner that the opening formed at the electron beam layer is exposed, and that the opening formed in the photoresist layer has a larger dimension than that of the opening formed in the electron beam resist layer; andforming an electrode having a T-shaped cross section by depositing an electrode material via the two openings,wherein the electron beam resist layer is formed of a polymethacrylate type electron beam resist, and the photoresist layer is formed of a styrene resin type negative resist containing a phenolic hydroxyl group.
    Type: Grant
    Filed: January 29, 1996
    Date of Patent: March 2, 1999
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yoshiteru Ishimaru
  • Patent number: 5874198
    Abstract: A charged particle beam transfer method is disclosed for use in lithography processes, particularly in processes for the manufacture of semiconductor integrated circuits. A mask pattern is divided into multiple mask subfields separated from one another by a grid of boundary fields. The multiple mask subfields are preferably arrayed in a matrix of rows and columns, which are irradiated with a charged particle beam. Irradiation of the mask subfields transfers the image of each mask subfield to a transfer field on a sensitive substrate, such as the surface of a silicon wafer used in the manufacture of integrated circuits. The position of the transfer fields are adjusted so that the transfer subfields touch each other on the substrate, without being separated by the border fields. Irradiation of the multiple mask subfields is performed in the direction of the columns, in the direction of the rows, or a combination thereof.
    Type: Grant
    Filed: October 31, 1996
    Date of Patent: February 23, 1999
    Assignee: Nikon Corporation
    Inventor: Teruaki Okino
  • Patent number: 5866300
    Abstract: Before figure data are expanded into a bitmap, a checksum is calculated in unit of bitmap data corresponding to a cell stripe of scanning over which continuous exposure is possible. When the checksum is calculated after expanding the data into the bitmap, the interim calculation result of refocus values is used. In exposure, exposing k number of sub rectangular areas by repeating a sub scanning k number of times, jumping a deflection by a main deflector toward an center of remaining sub rectangular areas whose number is (p-k) inside a main rectangular areas and exposing remained (p-k) number of the sub rectangular areas by repeating the sub scanning (p-k) times after the jumping is settled. In an amplifier & low pass filter for supplying a drive voltage to a sub deflector, the cutoff frequency is lowed during flyback in a sawtooth waveform without changing an amplification factor.
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: February 2, 1999
    Assignee: Fujitsu Limited
    Inventors: Takamasa Satoh, Soichiro Arai, Kenichi Miyazawa, Yoshihisa Ooaeh, Junichi Kai, Hiroshi Yasuda
  • Patent number: 5863682
    Abstract: A charged particle beam writing method for determining an optimal exposure dose for each position in a pattern to be drawn on a target before actually drawing the pattern by irradiating the target with charged particles and drawing the pattern with the obtained optimal exposure doses, comprising the first step of determining the first approximate optimal exposure dose for each position on said target, the second step of determining the second optimal exposure dose for each position on said target by determining a corrective value di for correcting said first approximate optimal exposure dose obtained by multiplying the error in the exposure dose of the position produced when exposed to said first approximate optimal exposure dose by a regulation coefficient of a value substantially equal to the exposure dose U(x, y) to back scattering charged particles and adding said corrective value to said first approximate optimal exposure dose, said exposure dose being variable as a function of the location (x, y) of the
    Type: Grant
    Filed: February 21, 1997
    Date of Patent: January 26, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takayuki Abe, Susumu Oogi, Takashi Kamikubo, Hirohito Anze
  • Patent number: 5851739
    Abstract: A developer for quinonediazide/novlak positive electron beam resists including alkali metal ions, weak acid ions and a water soluble organic compound subject to the following conditions: 0.05.ltoreq.a.ltoreq.0.5, 0.07.ltoreq.bn.ltoreq.1.5 and a<bn or a>bn, wherein a is the concentration of the alkali metal in mol/kg, b is the concentration of the weak acid in mol/kg and n is the valence of the weak acid ions, and the concentration of the water soluble organic compound is 0.5 to 10 wt %, based on the total weight of the developer.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: December 22, 1998
    Assignee: Toray Industries, Inc.
    Inventors: Hiroki Oosedo, Mutsuo Kataoka, deceased, Shigeyoshi Kanetsuki, Kazutaka Tamura, Masaya Asano
  • Patent number: 5847959
    Abstract: An electron beam pattern generating system for exposing a pattern on a substrate using a raster scan method. The system stores a rasterized representation of the pattern as a plurality of regular pixel dose exposure levels. These pixel dose exposure levels are evaluated by the system for one or more proximity effects and corrections to the dose exposure level and/or pixel location are calculated. The system includes apparatus for both calculation and storage of intermediate and final results as required. As they are calculated, the corrections are provided to an exposure dose modulator wherein they are applied to forming the pattern. Thus corrections for both long range and short range proximity effects due to both electron scattering and heating as well as for proximity effects due to global thermal expansion can be calculated and provided during run-time and a corrected pattern exposed.
    Type: Grant
    Filed: January 28, 1997
    Date of Patent: December 8, 1998
    Assignee: Etec Systems, Inc.
    Inventors: Lee H. Veneklasen, Robert Innes, Sergey Babin, David Trost, Jeffrey Varner
  • Patent number: 5843603
    Abstract: A method of evaluating a shaped beam generated by a charged beam writer, comprises the steps of: a first step of shaping line beams by dividing into 1/n one side of the shaped beam having a dimension "a" in an x direction and a dimension "b" in a y direction perpendicular to the x direction, where n is the number of divisions; a second step of irradiating the shaped line beam upon a surface of the sample or the movable stage for a constant time or longer; a third step of shaping a beam by adding a bias value .delta.
    Type: Grant
    Filed: August 22, 1996
    Date of Patent: December 1, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Ando, Hitoshi Sunaoshi, Hirotsugu Wada, Kazuyoshi Sugihara
  • Patent number: 5824441
    Abstract: The present invention is directed to a lithographic process for device fabrication. In lithographic processes for device fabrication, exposing radiation is used to delineate the image of a pattern into a layer of an energy sensitive resist material formed over a substrate. The pattern is then developed and the pattern is introduced into the underlying substrate. In the present invention, the substrate, typically a silicon wafer, is placed in a tool which utilizes electron beams as the exposing radiation. The silicon wafer has topographic alignment marks formed thereon. The alignment marks are used to orient the wafer in the tool accurately. The placement of the wafer in the tool is monitored by observing the intensity of the electron signal backscattered from the surface of the substrate.
    Type: Grant
    Filed: December 3, 1996
    Date of Patent: October 20, 1998
    Assignee: Lucent Technologies Inc.
    Inventors: Reginald Conway Farrow, Masis Mkrtchyan
  • Patent number: 5804354
    Abstract: A composition for forming a conductivity imparting agent comprising:(a) 0.1 to 20 parts by weight of sulfonated polyaniline having a content of a sulfonic acid group of 20 to 80% with respect to an aromatic ring of the sulfonated polyaniline;(b) 100 parts by weight of a solvent;(c) 0.01 to 10 parts by weight of an amine and/or quaternary ammonium salt; and(d) 0.001 to 100 parts by weight of at least one kind of a sulfonic acid group-containing component selected from the following (A) and (B);(A) compounds having a sulfonic acid group; and(B) polymers having a sulfonic acid group.
    Type: Grant
    Filed: June 16, 1997
    Date of Patent: September 8, 1998
    Assignees: Fujitsu Limited, Nitto Chemical Industry Co., Ltd.
    Inventors: Keiji Watanabe, Yasuhiro Yoneda, Takashi Maruyama, Keiko Yano, Tomio Nakamura, Shigeru Shimizu, Takashi Saitoh, Takahisa Namiki, Ei Yano, Miwa Igarashi, Yoko Kuramitsu
  • Patent number: 5798196
    Abstract: A pattern transfer method in which a part or all of a plurality of small areas on a mask are sequentially irradiated with a charged particle beam to transfer an image of a pattern provided in each of the irradiated small areas onto a radiation-sensitive substrate, e.g., a wafer. A pattern distribution condition is evaluated for each small area, and an image-formation condition of the pattern image with respect to the radiation-sensitive substrate is adjusted for each small area on the basis of predetermined information including a result of the evaluation.
    Type: Grant
    Filed: July 1, 1996
    Date of Patent: August 25, 1998
    Assignee: Nikon Corporation
    Inventor: Teruaki Okino
  • Patent number: 5792590
    Abstract: A pattern formation method of an EB resist film having the chemical amplification property, which enables to form a desirable pattern without using an additional film and without decreasing the resolution. After an EB resist film with the chemical amplification property is formed on or over a supporting member, the resist film is contacted with an acid gas to absorb the gas on the surface area thereof. The absorbed acid gas exhibits a catalyst action to compensate the lost catalyst action of the exposure-generated acid, preventing the dissolution rate of the resist film from decreasing in a developer solution. The gas absorption process may be carried out before and/or after an EB exposure process of the resist film, and may be carried out simultaneously with the EB exposure process. As the acid gas to be absorbed, HCl, HBr, H.sub.2 O.sub.2 or H.sub.2 SO.sub.4 is preferably used.
    Type: Grant
    Filed: April 15, 1997
    Date of Patent: August 11, 1998
    Assignee: NEC Corporation
    Inventor: Satomi Hirasawa
  • Patent number: 5789140
    Abstract: Methods of forming patterns in photo-sensitive resist layers with high aspect ratio features are described. The photosensitive layer is patterned exposed to actinic radiation and thereafter developed. For high aspect ratio patterns, the inventors have often observed a residue of resist material at the bottom of such features, and that this residue interferes with subsequent processing, such as filling the pattern with metal by a plating operation. To remove this residue, the patterned locations of the resist are exposed to a low dose of low-energy electron beam radiation, preferably having energy of less than 6 KeV and dosage of less than 200 .mu.C/cm.sup.2. After the electron beam exposure, the aperture is again exposed to a developer solution, which may be of the same composition as the developer initially used to develop the patterns.
    Type: Grant
    Filed: April 25, 1996
    Date of Patent: August 4, 1998
    Assignee: Fujitsu Limited
    Inventors: William T. Chou, Solomon I. Beilin, Wen-chou Vincent Wang
  • Patent number: 5783363
    Abstract: An improvement in a method of charged-particle lithography includes the step of spinning an electrically-conductive layer on a substrate. In one embodiment, an electrically-conductive transfer layer is applied on a substrate. In another embodiment, an electrically-conductive planarizing layer is applied on a substrate. In another embodiment, an electrically-conductive imageable layer is applied on a substrate. In another embodiment, a separate electrically-conductive layer is applied. In another embodiment, a planarizing layer, a transfer layer, or an imageable layer is bombarded with ions to form a thin electrically-conductive carbonized layer.
    Type: Grant
    Filed: January 21, 1997
    Date of Patent: July 21, 1998
    Assignee: National Semiconductor Corporation
    Inventor: Michael E. Thomas
  • Patent number: 5780188
    Abstract: A system and method for exposing a layer of resist on a target such as a semiconductor wafer are provided. An optical system includes a step and repeat projection aligner, and a mask having adjacent complementary pattern segments arranged in an alternating array of columns and rows. The method includes exposing the layer of resist in multiple stages by directing exposure energy through the mask onto the target. During each stage the target can be stepped through unequal stepping distances. A first stepping distance can be a width of a single pattern segment, and a second stepping distance can be a combined width of multiple pattern segments. The unequal stepping distances permit the complementary pattern segments to be initially exposed, and then overlayed on the target using relatively short stepping distance across most of the target.
    Type: Grant
    Filed: August 22, 1997
    Date of Patent: July 14, 1998
    Assignee: Micron Technology, Inc.
    Inventor: J. Brett Rolson
  • Patent number: 5776659
    Abstract: A composition for irradiation with an ionizing radiation, characterized by comprising: (a) 0.01 to 30 parts by weight of a soluble aniline polymer which comprises one or both of the repeating units represented by the following general formulae (1) and (2), said repeating units being included at least 80% of the total repeating units, possesses a weight average molecular weight of not less than 10,000, and is solid at room temperature; and (b) 100 parts by weight of a solvent, ##STR1## wherein R.sup.1 to R.sup.4 represent an electron-donating group, Y.sup.1 to Y.sup.4 represent --SO.sub.3 -- or --COO--, and M.sup.1 to M.sup.4 represent a hydrogen ion, an ammonium ion, an a1kyl ammonium ion with 1 to 8 carbon atoms, an aromatic ammonium ion, or a quaternary ion of an aromatic heterocyclic ring.
    Type: Grant
    Filed: December 12, 1996
    Date of Patent: July 7, 1998
    Assignees: Fujitsu Limited, Nitto Chemical Industry Co., Ltd.
    Inventors: Keiji Watanabe, Ei Yano, Takahisa Namiki, Keiko Yano, Takashi Maruyama, Tomio Nakamura, Shigeru Shimizu, Takashi Saitoh, Masashi Uzawa, Masami Ishikawa
  • Patent number: 5736281
    Abstract: A method of compensating for proximity effects in electron beam lithography systems is disclosed. An uncorrected dose profile is obtained for the pattern features to be introduced into a layer of electron beam sensitive material, including a determination of the clearing dose for the electron beam sensitive resist and the dose height for each edge of the pattern feature. Thereafter the incident dose of exposure energy for introducing an image of the pattern into a layer of electron beam sensitive material is adjusted by designating the clearing dose for each edge of the pattern feature as a function of the dose height. The uncorrected dose profile for determining the dose height and the clearing dose is optionally obtained from a calibration step. Each feature is optionally partitioned into a plurality of subshapes and the incident dose of exposure energy is then adjusted for each edge of each subshape by designating the clearing dose for each edge of each subshape as a function of the dose height.
    Type: Grant
    Filed: June 7, 1996
    Date of Patent: April 7, 1998
    Assignee: Lucent Technologies Inc.
    Inventor: George Patrick Watson
  • Patent number: 5733708
    Abstract: A technique is described which provides for directly placing multiple patterns on a nonconducting substrate using an electron beam. Prior to patterning, a conductive coating is applied to the nonconductive substrate. The patterns are generated by controlling the position and the speed of movement of the electron beam. Each pattern contains fiducials lying outside of the pattern's active region. After a conductive layer has been exposed, the patterned regions are removed, typically through etching. A separate etch is used to etch the pattern into substrate. The unpatterned portions of the conductive coating are then removed and a new conductive coating is applied to the substrate, insuring that the fiducials are protected during the removal procedure so that they can be used to align subsequent patterns. This procedure is repeated as many times as necessary to form the desired number of patterns in the substrate.
    Type: Grant
    Filed: October 2, 1995
    Date of Patent: March 31, 1998
    Assignee: Litel Instruments
    Inventors: Brian Catanzaro, Adlai H. Smith
  • Patent number: 5721091
    Abstract: A composition for forming an electrically conductive layer which is used in patterning of a resist with electric charge beam, which comprises:(a) 0.1 to 20 parts by weight of sulfonated polyanilines with a sulfonic group content ranging from 20 to 80% based on the aromatic ring, the sulfonated polyaniline having a weight average molecular weight of 500 to 100,000 and a molecular weight dispersion of not more than 5,(b) 100 parts by weight of a solvent, and(c) 0.01 to 30 parts by weight of amines and/or quaternary ammonium salts,and if desired, it further comprises 0 to 200 parts by weight of the following substance(s) (A) and/or (B) as component (d):(A) a high molecular weight compound soluble in the aforesaid solvent (b),(B) a surface active agent.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: February 24, 1998
    Assignees: Fujitsu Limited, Nitto Chemical Industry Co., Ltd.
    Inventors: Keiji Watanabe, Yasuhiro Yoneda, Takashi Maruyama, Keiko Yano, Tomio Nakamura, Shigeru Shimizu, Takashi Saitoh
  • Patent number: 5702620
    Abstract: A resist film consisting of 5,11,17,23,29,35-hexachloromethyl-37, 38,39,40,41,42-hexamethoxycalix?6!arene sensitive to a high-energy beam and soluble to a solvent is formed on a substrate etchable by a dry etching, has a selective region thereof exposed to the high-energy beam, with a remaining region unexposed thereto, and developed to define a pattern on the substrate, as the remaining region is removed by the solvent, before the substrate with the pattern is subjected to the dry etching. A nanometric patterning and etching is permitted, with a reduced process time.
    Type: Grant
    Filed: August 13, 1996
    Date of Patent: December 30, 1997
    Assignee: NEC Corporation
    Inventors: Yoshitake Ohnishi, Jun-Ichi Fujita, Arturo Arduini, Alessandro Casnati, Andrea Pochini, Rocco Ungaro
  • Patent number: 5700626
    Abstract: A method for forming a multi-layer resist (MLR) pattern capable of preventing a generation of a charge-up effect in an exposure to electron beams and reducing alignment detect errors, and employing a silylation process, thereby achieving an improvement in resonance.
    Type: Grant
    Filed: July 1, 1996
    Date of Patent: December 23, 1997
    Assignee: LG Semicon Co., Ltd.
    Inventors: Jun Seok Lee, Hun Hur, Young Jin Song
  • Patent number: 5693453
    Abstract: A method of forming a micro dot pattern by using an electron beam exposure apparatus which sets a minimum unit moving distance of an electron beam smaller than an electron beam diameter includes the steps of defining one of lattice points formed for every minimum unit moving distance of the electron beam as a reference position, and irradiating the electron beam on at least two lattice points within an area separated from the reference position by a distance smaller than the electron beam diameter in an equal exposure amount or different exposure amounts to form a pattern having a center at an exposure peak position in a sum of the plurality of exposure amounts, thereby forming the micro dot pattern having a center at a position other than the lattice points.
    Type: Grant
    Filed: February 20, 1996
    Date of Patent: December 2, 1997
    Assignee: NEC Corporation
    Inventor: Yoshiharu Muroya
  • Patent number: 5688634
    Abstract: The present invention is directed to a process for device or mask fabrication. In the process, an energy sensitive resist material that is the combination of a matrix polymer and a modifier polymer is formed onto a substrate. The modifier polymer and matrix polymer are phase compatible. In this regard the modifier polymer has a weight average molecular weight of about 5,000 to about 500,000 g/mol, and at least some of the polymer chains are terminated by a halogen moiety. The resist material is patternwise exposed to radiation, thereby introducing a latent image of the pattern into the resist material. The energy depolymerizes the modifier polymer. The modifier polymer is substantially less soluble in a developer solution used to develop the pattern introduced into the resist than is the matrix polymer. Therefore, if the resist material is positive acting, the resist material that is exposed to radiation is substantially more soluble in developer solution than the unexposed resist material.
    Type: Grant
    Filed: December 1, 1995
    Date of Patent: November 18, 1997
    Assignee: Lucent Technologies Inc.
    Inventors: David Anton Mixon, Anthony Edward Novembre
  • Patent number: 5679497
    Abstract: A resist material and a method for forming a resist pattern can be obtained which can improve throughput of pattern formation using both EB lithography and optical beam lithography and which does not suffer from degradation of an alignment mark. This resist material includes a first acid generating agent having a sensitivity only to an EB and a second acid generating agent having a sensitivity to an optical beam. A desired resist pattern is formed by the steps of exposing the resist material to the EB and exposing the resist material to the optical beam.
    Type: Grant
    Filed: January 15, 1997
    Date of Patent: October 21, 1997
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Yoshika Kimura
  • Patent number: 5677092
    Abstract: When the data of a mask pattern of a phase shift mask is to be made, the pattern data is separated into a real pattern data layer having the data of real patterns and a phase shift pattern data layer having the data of phase shift patterns. After this, it is verified whether or not the mask pattern satisfies the regulation of the gap of in-phase patterns, in which lights having transmitted through patterns adjacent to each other are in phase. It is also verified whether or not the mask pattern satisfies the regulation of the gap of out-of-phase patterns, in which lights having transmitted through patterns adjacent to each other are out of phase.
    Type: Grant
    Filed: February 6, 1995
    Date of Patent: October 14, 1997
    Assignees: Hitachi, Ltd., Hitachi VLSI Engineering Corp.
    Inventors: Toshitsugu Takekuma, Haruo Ii, Kazuya Ito
  • Patent number: 5677109
    Abstract: A method for writing on a semiconductor wafer using electron beams is provided. One embodiment of the method includes the steps of using electron beams to irradiate a semiconductor substrate on which a resist layer has been formed, to thereby draw patterns on the resist layer, and then baking the resist layer and substrate in a vacuum.
    Type: Grant
    Filed: October 24, 1996
    Date of Patent: October 14, 1997
    Assignee: NEC Corporation
    Inventors: Junko Morikawa, Hiroshi Nozue, Hiroshi Yamashita
  • Patent number: 5670299
    Abstract: A resist composition comprising (a) a polymer having at least repeating units of the formulae: ##STR1## (b) a photoacid generator and (c) a solvent, has high sensitivity to light, excellent heat resistance, adhesiveness to a substrate and suitable for pattern formation with high resolution.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: September 23, 1997
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Fumiyoshi Urano, Hirotoshi Fujie, Keiji Oono, Takaaki Negishi
  • Patent number: 5667923
    Abstract: A subject pattern and a lower layer pattern are divided into small regions of a constant dimension using identical mesh of division. Considering of the spreading of charged particle beam due to backward scattering, the small region is set to be, for example, a few .mu.m square. An irradiation energy on each small region is determined by taking backward scattering from lower level pattern into account. Calculation is simplified because a pattern is represented by a pattern areal density. A region with a lower level pattern and a region without a lower level pattern can be exposured by charged particle beam with a comparable accuracy.
    Type: Grant
    Filed: April 29, 1996
    Date of Patent: September 16, 1997
    Assignee: Fujitsu Limited
    Inventor: Hiroyuki Kanata
  • Patent number: 5607801
    Abstract: A direct patterning method using an electron beam, which-contains first and second steps. In the first step, a first beam of incident electrons accelerated at a first voltage is irradiated to an electron resist film and scanned. The first voltage is set so that the electrons penetrate the resist film to be back-scattered by a semiconductor substrate having an alignment mark and pass through the film again. Secondary electrons generated at the surface of the resist film due to the back-scattered electrons are detected by an electron detector to recognize the alignment mark. In the second step, a second beam of incident electrons accelerated at a second voltage lower than the first voltage is irradiated to the resist film and scanned by reference to the alignment mark to write a given pattern in the resist film.
    Type: Grant
    Filed: December 13, 1994
    Date of Patent: March 4, 1997
    Assignee: NEC Corporation
    Inventor: Hiroshi Nozue
  • Patent number: 5599654
    Abstract: A resin or amorphous carbon layer is coated on a substrate and then fluorinated by exposing it in a F.sub.2 gas atmosphere. The thus fluorinated resin or amorphous carbon layer can be excellent in dielectric constant and thermal resistance. The resin may be photo-sensitive so that the resin can be patterned before the fluorination. Alternatively, the resin can be fluorinated before patterning.
    Type: Grant
    Filed: August 17, 1993
    Date of Patent: February 4, 1997
    Assignee: Fujitsu Limited
    Inventor: Hiroshi Kudo
  • Patent number: 5587273
    Abstract: A molecularly imprinted substrate and sensors employing the imprinted substrate for detecting the presence or absence of analytes are described. One embodiment of the invention comprises first forming a solution comprising a solvent and (a) a polymeric material capable of undergoing an addition reaction with a nitrene, (b) a crosslinking agent (c) a functionalizing monomer and (d) an imprinting molecule. A silicon wafer is spincoated with the solution. The solvent is evaporated to form a film on the silicon wafer. The film is exposed to an energy source to crosslink the substrate, and the imprinting molecule is then extracted from the film. The invention can be used to detect an analyte by forming films which are then exposed to a reaction energy to form a crosslinked substrate. The imprinting molecules are extracted from the crosslinked substrate. The film is exposed to one or more of the imprinting molecules for a period of time sufficient to couple the imprinting molecules to the film.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: December 24, 1996
    Assignees: Advanced Microbotics Corporation, State of Oregon Acting by and through the State Board of Higher Education on Behalf of the University of Oregon
    Inventors: Mingdi Yan, John F. W. Keana, Martin N. Wybourne, Christophe J. P. Sevrain
  • Patent number: 5585211
    Abstract: A sub-micron dimensional standard is embodied in a grating of equal-sized lines and spaces formed in an electron-sensitive material by means of E-beam lithography. Several gratings are generated in the material at different respective doses of electrons. For each dose, a plurality of gratings are formed with different respective energy deposition contours over the interface of a line and a space. At one dose the energy deposition contour will be the same for all of the different energy deposition contour values. The grating formed with this dose is chosen as the one having a part which forms the standard. The width of a line, as well as the width of a space, in the selected grating is known in absolute terms to the same degree of accuracy as the grating's period. With such an artifact, the systematic error inherent to a measurement system can be determined. Once this error is known, it can be employed as a compensating factor to correct measurements made via the system.
    Type: Grant
    Filed: February 6, 1995
    Date of Patent: December 17, 1996
    Inventors: Leon A. Firstein, John L. Rogers, Arthur Noz
  • Patent number: 5585222
    Abstract: A resist composition and a process for forming a resist pattern using a resist composition are disclosed. The present composition includes 100 parts by weight of a copolymer of a 2-norbornene-2-substituent unit and an acrylic acid ester unit of the formula I; ##STR1## wherein, X is a cyano or chloro group, R is tert-butyl, dimethylbenzyl, or tetrahydropyranyl, m is an integer of 9 to 2390, and n is an integer of 21 to 5180, and 1 to 20 parts by weight of a photo acid generator. A finely-resolved resist pattern with high sensitivity and good dry etch resistance is obtained by the present composition and present process for forming the resist pattern.
    Type: Grant
    Filed: September 17, 1992
    Date of Patent: December 17, 1996
    Assignee: Fujitsu Limited
    Inventors: Yuko Kaimoto, Koji Nozaki
  • Patent number: 5585223
    Abstract: A positive-tone photoresist is provided. The photoresist comprises a polymer, a photoactive agent, and a dissolution inhibitor. The dissolution inhibitor comprises a compound of Formula I: ##STR1## wherein R.sub.1 is a C.sub.1 -C.sub.20 alkyl, cyclo alkyl, benzyl, phenyl, alkyl substituted cyclo alkyl, alkoxy substituted cyclo alkyl, alkyl substituted phenyl, alkoxy substituted phenyl, acetoxy substituted phenyl, hydroxy substituted phenyl, t-butyloxycarbonyloxy substituted phenyl, diphenyl alkyl, alkyl substituted diphenyl, alkoxy substituted diphenyl, alkyl substituted diphenyl alkyl, or alkoxy substituted diphenyl alkyl; and R.sub.2 is a C.sub.1 -C.sub.20 alkyl, cyclo alkyl, benzyl, phenyl, alkyl substituted cyclo alkyl, alkoxy substituted cyclo alkyl, alkyl substituted phenyl, alkoxy substituted phenyl, hydroxy substituted phenyl, acetoxy substituted phenyl, or t-butyloxycarbonyloxy substituted phenyl.The present invention also provides a method of making microelectronic structures.
    Type: Grant
    Filed: April 17, 1996
    Date of Patent: December 17, 1996
    Assignee: Cornell Research Foundation, Inc.
    Inventors: Jean M. J. Fr echet, Sze-Ming Lee
  • Patent number: 5582955
    Abstract: Methods for covalently modifying surfaces of various substrates are disclosed, along with various substrates having surfaces modified by such methods. Candidate surfaces include various polymeric, siliceous, metallic, allotrophic forms of carbon, and semiconductor surfaces. The surfaces are exposed to a reagent, having molecules each comprising a nitrenogenic group and a functionalizing group, in the presence of energized charged particles such as electrons and ions, photons, or heat, which transform the nitrenogenic reagent to a nitrene intermediate. The nitrene covalently reacts with any of various chemical groups present on the substrate surface, thereby effecting nitrene addition of the functionalizing groups to the substrate surface. The functionalizing groups can then participate in downstream chemistry whereby any of a large variety of functional groups, including biological molecules, can be covalently bonded to the surface, thereby dramatically altering the chemical behavior of the surface.
    Type: Grant
    Filed: June 26, 1995
    Date of Patent: December 10, 1996
    Assignee: State of Oregon Acting by and through the State Board of Higher Education on Behalf of the University of Oregon
    Inventors: John F. W. Keana, Martin N. Wybourne, Sui X. Cai, Mingdi Yan
  • Patent number: 5580697
    Abstract: Methods for covalently modifying surfaces of various substrates are disclosed, along with various substrates having surfaces modified by such methods. Candidate surfaces include various polymeric, siliceous, metallic, allotrophic forms of carbon, and semiconductor surfaces. The surfaces are exposed to a reagent, having molecules each comprising a nitrenogenic group and a functionalizing group, in the presence of energized charged particles such as electrons and ions, photons, or heat, which transform the nitrenogenic reagent to a nitrene intermediate. The nitrene covalently reacts with any of various chemical groups present on the substrate surface, thereby effecting nitrene addition of the functionalizing groups to the substrate surface. The functionalizing groups can then participate in downstream chemistry whereby any of a large variety of functional groups, including biological molecules, can be covalently bonded to the surface, thereby dramatically altering the chemical behavior of the surface.
    Type: Grant
    Filed: June 23, 1994
    Date of Patent: December 3, 1996
    Assignee: State of Oregon Acting by and through the State Board of Higher Education on Behalf of the University of Oregon
    Inventors: John F. W. Keana, Martin N. Wybourne, Sui X. Cai, Mingdi Yan
  • Patent number: 5567572
    Abstract: A support sheet for a photographic printing sheet having enhanced anti-fogging and anti-yellowing properties comprises a pulp paper substrate sheet, a front coating layer formed on a front surface of the substrate sheet and comprising a cured resinous material produced from electron beam-curable unsaturated organic compound by an electron beam irradiation thereto and mixed with a white pigment, and a back coating layer formed on a back surface of the substrate sheet and comprising a film-forming synthetic resinous material, in which a magnesium compound is contained, as an anti-fogging agent, in the substrate sheet and/or the front coating layer.
    Type: Grant
    Filed: September 26, 1994
    Date of Patent: October 22, 1996
    Assignee: New Oji Paper Co., Ltd.
    Inventors: Takaharu Miura, Masataka Itoh, Chieko Tanaka
  • Patent number: 5567569
    Abstract: Quinone diazo compounds having bonded to the diazo ring or directly bonded to a ring of the compound, certain non-metallic atoms that improve the photosensitivity thereof are provided. These quinone diazo compounds are useful as photoactive compounds in photoresist compositions, and particularly positive photoresist composition employed in x-ray or electron beam radiation. Also provided is a method for preparing compounds of the present invention.
    Type: Grant
    Filed: March 15, 1996
    Date of Patent: October 22, 1996
    Assignee: International Business Machines Corporation
    Inventors: Ari Aviram, William R. Brunsvold, Daniel Bucca, Willard E. Conley, Jr., David E. Seeger
  • Patent number: 5563029
    Abstract: The present invention is a biaxially oriented polyester film support in which the surface has been subjected to an energetic treatment to produce amine groups on the polyester surface. The treated surface is then coated with a dilute amine reactive hardener solution. After drying the hardener solution a photographic emulsion is coated to the surface. The resulting film element has better adhesion of the photographic emulsion after photoprocessing than previous known methods.
    Type: Grant
    Filed: April 3, 1995
    Date of Patent: October 8, 1996
    Assignee: Eastman Kodak Company
    Inventors: Jeremy Grace, Louis J. Gerenser, Janglin Chen, Edgar E. Riecke