Making Plural Insulated Gate Field Effect Transistors Having Common Active Region Patents (Class 438/279)
  • Patent number: 7393737
    Abstract: A semiconductor device which, in spite of the existence of a dummy active region, eliminates the need for a larger chip area and improves the surface flatness of the semiconductor substrate. In the process of manufacturing it, a thick gate insulating film for a high voltage MISFET is formed over an n-type buried layer as an active region and a resistance element IR of an internal circuit is formed over the gate insulating film. Since the thick gate insulating film lies between the n-type buried layer and the resistance element IR, the coupling capacitance produced between the substrate (n-type buried layer) and the resistance element IR is reduced.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: July 1, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Keiichi Yoshizumi, Kazuhisa Higuchi, Takayuki Nakaji, Masami Koketsu, Hideki Yasuoka
  • Publication number: 20080119023
    Abstract: A method of manufacturing a plurality of MOS transistors includes forming gate structures in first and second regions on a substrate and forming mask portions only between adjacent drain sides of the respective gate structures only in the first region. Dopant of a first conductivity type that is the same as that of the substrate, is implanted at first and second angles in both the first and second regions to form halo regions only in source sides under the gate structures in the first region and in both source and drain sides under the gate structures in the second region.
    Type: Application
    Filed: November 16, 2006
    Publication date: May 22, 2008
    Inventors: Chung-Te Lin, Di-Houng Lee, Yee-Chaung See
  • Publication number: 20080111184
    Abstract: A method of fabricating a plurality of FinFETs on a semiconductor substrate in which the gate width of each individual FinFET is defined utilizing only a single etching process, instead of two or more, is provided. The inventive method results in improved gate width control and less variation of the gate width of each individual gate across the entire surface of the substrate. The inventive method achieves the above by utilizing a modified sidewall image transfer (SIT) process in which an insulating spacer that is later replaced by a gate conductor is employed and a high-density bottom up oxide fill is used to isolate the gate from the substrate.
    Type: Application
    Filed: November 14, 2006
    Publication date: May 15, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jochen Beintner, Gary B. Bronner, Ramachandra Divakaruni, Yujun Li
  • Patent number: 7371667
    Abstract: There are disclosed TFTs that have excellent characteristics and can be fabricated with a high yield. The TFTs are fabricated, using an active layer crystallized by making use of nickel. Gate electrodes are comprising tantalum. Phosphorus is introduced into source/drain regions. Then, a heat treatment is performed to getter nickel element in the active layer and to drive it into the source/drain regions. At the same time, the source/drain regions can be annealed out. The rate electrodes of tantalum can withstand this heat treatment.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: May 13, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7364959
    Abstract: A method for manufacturing a MOS transistor integrated into a chip of semi-conductive material comprising a first and a second active region which extend from the inside of the chip to a surface of the chip. The method comprises the steps of: a) forming a layer of insulating material on the surface of the chip and depositing a layer of conductive material on said insulating layer, b) defining an insulated gate electrode of the transistor, from said superimposed insulating and conductive layers, c) defining, from said superimposed insulating and conductive layers, an additional structure arranged on a first surface portion of the first active region, and d) placing between the insulated gate electrode and the additional structure a dielectric spacer placed on a second surface portion of the first active region.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: April 29, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventors: Alessandro Moscatelli, Giuseppe Croce
  • Patent number: 7364973
    Abstract: A method of manufacturing a NOR-type mask ROM device includes forming a first gate electrode for an OFF cell and a second gate electrode for an ON cell on a semiconductor substrate of a first conductivity type. To code the mask ROM device, a plurality of source/drain regions is formed by implanting impurities of a second conductivity type, opposite the first conductivity type, into the semiconductor substrate adjacent only to one side of the first gate electrode and adjacent to both sides of the second gate electrode. To prevent misalignment of a bit line contact hole with a contact region, additional impurities are implanted only into a bit line contact region of the mask ROM device region. When a semiconductor device formed on the same substrate as the mask ROM device includes a double diffused region, additional implantation for both may be realized simultaneously.
    Type: Grant
    Filed: August 3, 2007
    Date of Patent: April 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Khe Yoo, Weon-ho Park, Byoung-ho Kim
  • Patent number: 7348221
    Abstract: A process for manufacturing a semiconductor device, provides that a silicide layer is formed, an amorphous semiconductor layer is applied both to the silicide layer and to an open monocrystalline semiconductor region, adjacent to the silicide layer, and during a subsequent temperature treatment, the amorphous semiconductor layer is crystallized proceeding from the open, monocrystalline semiconductor region, acting as a crystallization nucleus, so that the silicide layer is covered at least partially by a crystallized, monocrystalline semiconductor layer.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: March 25, 2008
    Assignee: Atmel Germany GmbH
    Inventor: Christoph Bromberger
  • Patent number: 7348241
    Abstract: Provided are a cell structure of an EPROM device and a method for fabricating the same. The cell structure includes a gate stack, which includes a first floating gate, an insulating pattern including a nitride layer, and a control gate that are sequentially stacked on a semiconductor substrate, and includes a window for exposing the top surface or both sidewalls of the first floating gate on both sides of the control gate, so that charges of the first floating gate can be erased by ultraviolet rays. The cell structure further includes a floating gate transistor, which includes a gate insulating layer formed on the semiconductor substrate, a second floating gate that is formed on the gate insulating layer and is connected to the first floating gate in the gate stack, and a source/drain that is formed in the semiconductor substrate so as to be aligned to the second floating gate. In the cell structure, the window is formed on the top surface or both sidewalls of the first floating gate of the gate stack.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: March 25, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon-hyung Lee, Byung-sun Kim, Tae-jung Lee
  • Patent number: 7348245
    Abstract: Manufacturing method of a semiconductor device for forming a rewritable nonvolatile memory cell including a first field effect transistor for memory, a circuit including a second field effect transistor and a circuit including a third field effect transistor, including forming a gate insulating film over a semiconductor substrate, a gate electrode over the gate insulating film and sidewall spacers over the sidewalls of the gate electrode associated with each of the first through third field effect transistors. The sidewall spacers of at least the first field effect transistor have a different width than that of at least the second field effect transistor, the gate electrode of the third field effect transistor has a different length than that of at least the first field effect transistor for memory and the gate insulating film of the third field effect transistor has a thickness larger than that of the second field effect transistor.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: March 25, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Masaaki Shinohara, Kozo Watanabe, Fukuo Owada, Takashi Aoyama
  • Publication number: 20080067611
    Abstract: A semiconductor device includes: an isolation region formed in a semiconductor substrate; an active region surrounded by the isolation region; and a first gate electrode formed on the isolation region and the active region and including a first region on the isolation region. The first region has a pattern width in a gate length direction larger than a pattern width of the first gate electrode on the active region. The first region includes a part having a film thickness different from a film thickness of the first gate electrode on the active region.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 20, 2008
    Inventors: Chiaki Kudo, Hisashi Ogawa
  • Patent number: 7338867
    Abstract: Semiconductor devices have gate structures on a semiconductor substrate with first spacers on sidewalls of the respective gate structures. First contact pads are positioned between the gate structures and have heights lower than the heights of the gate structures. Second spacers are disposed on sidewalls of the first spacers and on exposed sidewalls of the first contact pads. Second contact pads are disposed on the first contact pads.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: March 4, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Deok-Hyung Lee, Si-Young Choi, Byeong-Chan Lee, Chul-Sung Kim, In-Soo Jung, Jong-Ryeol Yoo
  • Patent number: 7338868
    Abstract: A method for forming gate oxide layers of a semiconductor device including defining a first, a second, and a third device region by forming device isolation regions on a semiconductor substrate. The method also includes forming a sacrificing dielectric layer on the substrate, removing the sacrificing dielectric layer on the first device region by selective etching, and forming a first gate oxide layer by oxidizing the first device region. The method further includes removing the sacrificing dielectric layer on the second and third device regions, forming a second gate oxide layer on the second and third device region by oxidizing the substrate, forming a photoresist pattern exposing the third device region and covering the first and second device regions, and forming a third gate oxide layer by oxidizing the third device region.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: March 4, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yong Keon Choi
  • Publication number: 20080048267
    Abstract: Various embodiments of the present invention provide circuits and methods for improved FET matching. As one example, such methods may include providing two or more transistors. Each of the transistors includes a channel that varies in cross-sectional width from the source to the drain, and the transistors are matched one to another.
    Type: Application
    Filed: August 14, 2007
    Publication date: February 28, 2008
    Applicant: Agere Systems Inc.
    Inventors: Kenneth G. Richardson, Michael Straub
  • Patent number: 7335558
    Abstract: A method of manufacturing a NAND flash memory device, including the steps of providing a semiconductor substrate in which a cell region and a select transistor region are defined; simultaneously forming a plurality of cell gates on the semiconductor substrate of the cell region and forming selection gates on the semiconductor substrate of the select transistor region; forming an oxide film on the entire structure and then forming a nitride film; etching the nitride film so that the nitride film remains only between the selection gates and adjacent edge cell gates; and, blanket etching the oxide film to form spacers on sidewalls of the selection gates. Accordingly, uniform threshold voltage distributions can be secured, and process margins for a spacer etch target can be secured when etching the spacers. Furthermore, the nitride film partially remains between the edge cell gates and the selection gates even after the gate spacers are etched.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: February 26, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Chan Sun Hyun
  • Patent number: 7332420
    Abstract: A method for manufacturing a semiconductor device having a P-type MOSFET and an N-type MOSFET, the method comprising the steps of: forming a gate insulating film, a non-doped polysilicon film, a metal silicide film, a metal nitride film and a metal film on a semiconductor substrate; processing at least the metal film, the metal nitride film and the metal silicide film to pattern them into the shape of a gate such that the portion of the meal silicide film that forms part of a gate electrode of a P-type MOSFET and the portion of the meal silicide film that forms part of a gate electrode of an N-type MOSFET are separated from each other; introducing P-type and N-type impurities into the respective regions of the non-doped polysilicon film where the P-type and N-type MOSFETs are formed; performing thermal treatment to diffuse the impurities; and patterning the polysilicon film with the impurities introduced into the shape of the gate.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: February 19, 2008
    Assignee: Elpida Memory, Inc.
    Inventor: Yoshikazu Moriwaki
  • Patent number: 7326618
    Abstract: A method of making a transistor driver circuit with a plurality of transistors, each having source and drain regions formed in a substrate. At least first and second interconnect layers are formed on top of the substrate. A first plurality of contacts connect the source regions to one of the first or second interconnect layers. A second plurality of contacts connect the drain regions to the other of the first or second interconnect layers. The first and second interconnect layers cover a region above the substrate area in which the plurality of transistors reside so as to achieve a low ohmic result. The second interconnect layer has openings therein for one of the respective first or second plurality of contacts to pass therethrough and couple to the at least one first interconnect layer. Either the first or second interconnect layers can function as an input or output for the circuit.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: February 5, 2008
    Assignee: Broadcom Corporation
    Inventor: Victor Fong
  • Patent number: 7323383
    Abstract: In the method, trenches (9) are etched and, in between, bit lines (8) are in each case arranged on doped source drain/regions (3). Dopant is introduced into the bottoms of the trenches (9) in order to form doped regions (23), in order to electrically modify the channel regions. Storage layers are applied and gate electrodes (2) are arranged at the trench walls. The semiconductor material at the bottoms of the trenches is etched away between the word lines (18/19) to an extent such that the doped regions (23) are removed there to such a large extent that a crosstalk between adjacent memory cells along the trenches is reduced.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: January 29, 2008
    Assignee: Infineon Technologies AG
    Inventors: Joachim Deppe, Christoph Kleint, Christoph Ludwig
  • Patent number: 7320909
    Abstract: Integrated circuit devices are provided including an integrated circuit substrate and first, second and third spaced apart insulating regions in the integrated circuit substrate that define first and second active regions. A first gate electrode is provided on the first active region. The first gate electrode has a first portion on the first active region that extends onto the first insulating region and a second portion at an end of the first portion on the first insulating region. A second gate electrode is provided on the second active region. An insulating layer is provided on the first, second and third active regions defining a first gate contact hole that exposes at least a portion of the second portion of the first gate electrode. The first gate electrode is free of a gate contact hole on the first portion of the first gate electrode. A second gate contact hole is provided on the second active region that exposes at least a portion of the second gate electrode.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: January 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeung-Hwan Park, Myoung-Kwan Cho
  • Patent number: 7320918
    Abstract: A method and structure for fabricating an electronic device using an SOI technique that results in formation of a buried oxide layer. The method includes fabricating at least one first component of the electronic device and fabricating at least one second component of the electronic device, wherein the first component and the second component are on opposite sides of the buried oxide layer, thereby causing the buried oxide layer to perform a function within the electronic device. Entire circuits can be designed around this technique.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: January 22, 2008
    Assignee: International Business Machines Corporation
    Inventors: John E. Campbell, William T. Devine, Kris V. Srikrishnan
  • Patent number: 7312124
    Abstract: A method of manufacturing a semiconductor device includes forming first and second active regions and a field region in a surface of a substrate; forming a first gate insulating film in the first and second active regions; covering the surface of the substrate with a first polycrystalline silicon film; exposing the first gate insulating film on the second active region by forming an aperture in the first polycrystalline silicon film over the second active region; removing the first gate insulating film in the second active region; forming a second gate insulating film which is thicker than the first gate insulating film in the second active region; covering the surface of the substrate with a second polycrystalline silicon film; removing the second polycrystalline silicon film on the first active region until it becomes a predetermined film thickness; and forming gate electrodes on the first and second active regions.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: December 25, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yasuhiro Doumae
  • Publication number: 20070287255
    Abstract: Embodiments of the invention include apparatuses and methods relating to three dimensional transistors having high-k dielectrics and metal gates with fins protected by a hard mask layer on their top surface. In one embodiment, the hard mask layer includes an oxide.
    Type: Application
    Filed: June 13, 2006
    Publication date: December 13, 2007
    Inventors: Brian S. Doyle, Uday Shah, Been-Yih Jin, Jack T. Kavalieros
  • Patent number: 7291882
    Abstract: A programmable and erasable digital switch device is provided. An N-type memory transistor and a P-type memory transistor are formed over a substrate. The N-type memory transistor includes a first N-type doped region, a second N-type doped region, a first charge storage layer and a first control gate. The P-type memory transistor includes a first P-type doped region, a second P-type doped region, a second charge storage layer and a second control gate. A common bit line doped region is formed between the N-type memory transistor and the P type memory transistor and electrically connects the first N-type region to the second P-type doped region. A word line electrically connects the first control gate to the second control gate.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: November 6, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Ching-Sung Yang, Wei-Zhe Wong
  • Patent number: 7288449
    Abstract: A method of manufacturing a semiconductor device having a first and second transistor of an ESD protection and internal circuit respectively. The method includes the steps of providing a substrate, forming gates of the first and second transistor on the substrate, depositing a mask layer and patterning the mask layer using one single mask to remove the mask layer on the gates, a portion of a drain region of the first transistor, and a source and drain region of the second transistor, implementing ESD implantation under the regions without the patterned mask layer, removing the mask layer and forming sidewall spacers of the gates, and implementing drain diffusion.
    Type: Grant
    Filed: November 25, 2005
    Date of Patent: October 30, 2007
    Assignee: Silicon Integrated Systems Corp.
    Inventors: Ming-Dou Ker, Hsin-Chyh Hsu, Wen-Yu Lo
  • Patent number: 7285450
    Abstract: A method of fabricating non-volatile memory is provided. A plurality of first memory cells is formed on the memory cell region of a substrate. Each first memory cell includes a first composite layer, a first gate and a cap layer. There is a gap between two adjacent first memory cells. Then, a plurality of gates is formed in the respective gaps. The gates together with a second composite layer form a plurality of second memory cells. The second memory cells and the first memory cells together constitute a memory cell column. In the meantime, a plurality of gate structures is also formed on the peripheral circuit region. The gates in the gaps and the gates in the peripheral circuit region are formed using the same conductive layers.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: October 23, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Wei-Chung Tseng, Houng-Chi Wei, Saysamone Pittikoun
  • Patent number: 7285467
    Abstract: Unit cells of a static random access memory (SRAM) are provided including an integrated circuit substrate and first and second active regions. The first active region is provided on the integrated circuit substrate and has a first portion and a second portion. The second portion is shorter than the first portion. The first portion has a first end and a second end and the second portion extends out from the first end of the first portion. The second active region is provided on the integrated circuit substrate. The second active region has a third portion and a fourth portion. The fourth portion is shorter than the third portion. The third portion is remote from the first portion of the first active region and has a first end and a second end. The fourth portion extends out from the second end of the third portion towards the first portion of the first active region and is remote from the second portion of the first active region. Methods of forming SRAM cells are also described.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: October 23, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seung-Heon Song
  • Patent number: 7282401
    Abstract: A method used in fabrication of a recessed access device transistor gate has increased tolerance for mask misalignment. One embodiment of the invention comprises forming a vertical spacing layer over a semiconductor wafer, then etching the vertical spacing layer and the semiconductor wafer to form a recess in the wafer. A conductive transistor gate layer is then formed within the trench and over the vertical spacing layer. The transistor gate layer is etched, which exposes the vertical spacing layer. A spacer layer is formed over the etched conductive gate layer and over the vertical spacing layer, then the spacer layer and the vertical spacing layer are anisotropically etched. Subsequent to anisotropically etching the vertical spacing layer, a portion of the vertical spacing layer is interposed between the semiconductor wafer and the etched conductive transistor gate layer in a direction perpendicular to the plane of a major surface of the semiconductor wafer.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: October 16, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Patent number: 7279419
    Abstract: Methods of forming a contact structure for semiconductor assemblies are described. One method provides process steps to create an inner dielectric isolation layer after the contact region is protected, which is followed by the formation of the self-aligned contact structures. A second method provides process steps to create an inner dielectric isolation layer after the self-aligned contact structures are formed.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Hyun T. Kim, Byron N. Burgess
  • Patent number: 7271441
    Abstract: The semiconductor device includes a first semiconductor region of a first conductivity type partially extending to a top face of a semiconductor substrate; a second semiconductor region of a second conductivity type formed on the first semiconductor region; a third semiconductor region of the first conductivity type formed on the second semiconductor region; a fourth semiconductor region of the second conductivity type formed on the second semiconductor region and adjacent to the third semiconductor region; a trench penetrating through the second semiconductor region and the third semiconductor region; a gate insulating film formed on an inner wall of the trench; and a gate electrode formed on the gate insulating film within the trench.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: September 18, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Satoe Miyata, Shuji Mizokuchi
  • Patent number: 7265012
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: September 4, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7262103
    Abstract: Disclosed is a method for forming salicide in a semiconductor device. The method comprises the steps of: forming a first and a second gate oxide film and in a non-salicide region and a salicide region, the first gate oxide film being thicker than the second gate oxide film; forming a conductive layer and a nitride based hard mask layer, and then selectively removing the conductive layer, the hard mask layer, the first gate oxide film, and the second gate oxide film, thereby forming gate electrodes and simultaneously exposing an active region of the salicide region; forming a spacer oxide film on an upper surface, except for the hard mask layer, of a second resultant structure; selectively removing the spacer oxide film, thereby forming a spacer and simultaneously exposing the active region of the salicide region; removing the hard mask layer; and forming a salicide film on the upper surfaces of the gate electrodes and on the surface of the active region in the salicide region.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: August 28, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Joon Hyeon Lee, Woon Yong Kim
  • Patent number: 7247540
    Abstract: Methods of forming field effect transistors include the steps of forming a first electrically insulating layer on a semiconductor substrate having a plurality of trench isolation regions therein that define an active region therebetween. The first electrically insulating layer is then patterned to define a first plurality of openings therein that extend opposite the active region. A trench mask having a second plurality of openings therein is then formed by filling the first plurality of openings with electrically insulating plugs and then etching the patterned first electrically insulating layer using the electrically insulating plugs as an etching mask. A plurality of trenches are then formed in the active region by etching the semiconductor substrate using the trench mask as an etching mask. A plurality of insulated gate electrodes are then formed that extend into the plurality of trenches.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: July 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-hoon Chung, Byeong-yun Nam, Kyeong-koo Chi
  • Patent number: 7232717
    Abstract: A method of forming a non-volatile DRAM includes, in part: forming p-well and an n-well between two trench isolation regions formed in a semiconductor substrate, forming a polysilicon control gate of the non-volatile device disposed in the non-volatile DRAM, forming a first oxide spacer above portions of the body region and adjacent said first control gate, forming gate oxide layers of varying thicknesses above the body region, forming the guiding gate of the non-volatile device and the gate of an associated passgate transistor, forming LDD implant regions of the non-volatile device and the associated pass-gate transistor, forming source/drain regions of the non-volatile device and the associated pass-gate transistor, depositing a dielectric layer over the polysilicon guiding gate of the non-volatile device and the polysilicon gate of the associated passgate transistor, forming polysilicon landing pads, and forming polysilicon vertical walls defining capacitor plates of the non-volatile DRAM capacitor.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: June 19, 2007
    Assignee: O2IC, Inc.
    Inventors: Kyu Hyun Choi, Sheau-suey Li
  • Patent number: 7232724
    Abstract: Methods are disclosed for fabricating multi-bit SONOS flash memory cells, comprising forming a first dielectric layer and a charge trapping layer over a substrate of a wafer and selectively etching the dielectric and charge trapping layers down to a substrate region to form a bitline opening, then implanting a dopant ion species into the substrate associated with the bitline opening in a bitline region. A radical oxidation process is then used to form a second dielectric layer of a triple layer dielectric-charge trapping-dielectric stack over the charge trapping layer and to fill the bitline opening in the bitline regions of the wafer. Finally, a wordline structure is then formed over the triple layer dielectric-charge trapping-dielectric stack and the bitline regions of the wafer.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: June 19, 2007
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Hidehiko Shiraiwa, Joong Jeon, Weidong Qian
  • Patent number: 7229904
    Abstract: Disclosed is a method for forming landing plug contacts in a semiconductor device. The method includes the steps of: forming a plurality of gate structures on a substrate, each gate structure including a gate hard mask; forming an inter-layer insulation layer on the gate structures; planarizing the inter-layer insulation layer through a chemical mechanical polishing (CMP) process until the gate hard mask is exposed; forming a hard mask material on the planarized inter-layer insulation layer; patterning the hard mask material, thereby forming a hard mask; forming a plurality of contact holes exposing the substrate disposed between the gate structures by etching the planarized inter-layer insulation layer with use of the hard mask as an etch mask; forming a polysilicon layer on the contact holes; and forming the landing plug contacts buried into the contact holes through a planarization process performed to the polysilicon layer until the gate hard mask is exposed.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: June 12, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyung-Hwan Kim
  • Patent number: 7224034
    Abstract: Disclosed is a technique for reducing the leak current by reducing contamination of metal composing a polymetal gate of a MISFET: Of a polycrystalline silicon film, a WN film, a W film, and a cap insulating film formed on a gate insulating film on a p-type well (semiconductor substrate), the cap insulating film, the W film, and the WN film are etched and the over-etching of the polycrystalline silicon film below them is performed. Then, a sidewall film is formed on sidewalls of these films. Thereafter, after etching the polycrystalline silicon film with using the sidewall film as a mask, a thermal treatment is performed in an oxidation atmosphere, by which a light oxide film is formed on the sidewall of the polycrystalline silicon film. As a result, the contamination on the gate insulating film due to the W and the W oxide can be reduced, and also, the diffusion of these materials into the semiconductor substrate (p-type well) and the resultant increase of the leak current can be prevented.
    Type: Grant
    Filed: November 2, 2004
    Date of Patent: May 29, 2007
    Assignee: Elpida Memory, Inc.
    Inventors: Hiroshi Kujirai, Kousuke Okuyama, Kazuhiro Hata, Kiyonori Oyu, Ryo Nagai, Hiroyuki Uchiyama, Takahiro Kumauchi, Teruhisa Ichise
  • Patent number: 7220645
    Abstract: Disclosed is a method of manufacturing a semiconductor device. A plurality of device separation regions are formed in an SOI layer of an SOI substrate, a desired impurity is implanted into a body portion of an Si active layer region, and thereafter a gate electrode is formed with a gate insulation film therebetween. Thereafter, an impurity is implanted into the Si active layer region to form extension portions of source/drain portions, and then an impurity different in polarity from the impurity in the source/drain portions is halo-implanted to form a reverse-characteristic layer. In the halo implantation, the range of projection is set to reach the inside of a buried oxide film. With this configuration, in a fully depleted SOI-MOSFET or the like provided with a thin film SOI layer, it is made possible to simultaneously achieve an improvement of roll-off characteristic and a reduction in parasitic resistance and to secure a sufficient driving capability.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: May 22, 2007
    Assignee: Sony Corporation
    Inventor: Kazuhide Koyama
  • Patent number: 7217606
    Abstract: A method for forming NMOS and PMOS transistors that includes cutting a substrate along a higher order orientation and fabricating deep sub-micron NMOS and PMOS transistors on the vertical surfaces thereof. The complementary NMOS and PMOS transistors form a CMOS transistor pair. The transistors are preferably used in structures such as memory circuits, e.g., DRAMs, which are, in turn, used in a processor-based system. Ideally, the deep sub-micron NMOS and PMOS transistors are operated in velocity saturation for optimal switching operation.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: May 15, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Wendell P. Noble, Alan R. Reinberg
  • Patent number: 7208379
    Abstract: A method for multiplying the pitch of a semiconductor device is disclosed. The method includes forming a patterned mask layer on a first layer, where the patterned mask layer has a first line width. The first layer can then be etched to form a first plurality of sloped sidewalls. After removing a portion of the patterned mask so that the patterned mask layer has a second line width less than the first line width, the first layer can be etched again to form a second plurality of sloped sidewalls. The patterned mask layer can then be removed. The first layer can be etched again to form a third plurality of sloped sidewalls. The first plurality of sloped sidewalls, the second plurality of sloped sidewalls, and the third plurality of sloped sidewalls can form an array of parallel triangular channels.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: April 24, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Ramesh Venugopal, Christoph Wasshuber
  • Patent number: 7179713
    Abstract: A method of fabricating a fin transistor is disclosed. An example method stacks a mask oxide layer and a nitride layer on a semiconductor substrate, forms a fin by etching the nitride and mask oxide layers and silicon, forms an insulating oxide layer, and forms a gate electrode by etching the insulating oxide layer corresponding to a gate forming area using a gate mask, by forming a gate oxide layer on a sidewall of the silicon exposed by the etch and burying a metal. The example method also removes the remaining insulating oxide layer using an etch rate difference, forms a gate spacer, and forms source/drain regions in the silicon substrate to be aligned with the gate electrode. Additionally, the example method forms a second insulating oxide layer over the substrate, etches the second insulating oxide layer using a metal mask, forms contact holes on the source/drain regions, respectively, and fills the contact holes and the portion etched via the metal mask with a metal.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: February 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Byeong Ryeol Lee
  • Patent number: 7169677
    Abstract: A method for fabricating a spacer structure includes: forming a gate insulation layer having a gate deposition-inhibiting layer, a gate layer and a covering deposition-inhibiting layer on a semiconductor substrate, and patterning the gate layer and the covering deposition-inhibiting layer in order to form gate stacks. An insulation layer is deposited selectively using the deposition-inhibiting layers, thereby permitting highly accurate formation of the spacer structure.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: January 30, 2007
    Assignee: Infineon Technologies AG
    Inventor: Helmut Tews
  • Patent number: 7163861
    Abstract: Certain embodiments include a semiconductor device capable of preventing a retardation of signal transmission between the smallest units, a method for the manufacture thereof, a circuit substrate and an electronic device. Embodiments also include a manufacturing method comprising a laminating step of forming tunnel insulating films 12 and 22, floating gates 14 and 24, dielectric films 16 and 26, control gates 18 and 28 on first and second memory cell areas 10 and 20 formed mutually adjacent to each other on a semiconductor substrate 30, a plurality of impurity area formation steps of forming sources and drains 32, 34, 36 and 38 on the first and second memory cell areas 10 and 20, and forming a connecting area 40 capable of forming an electric connection between one 32 of the source and drain of the first memory cell area 10 and one 36 of the source and drain of the second memory cell area 20.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: January 16, 2007
    Assignee: Seiko Epson Corporation
    Inventor: Tomoyuki Furuhata
  • Patent number: 7160771
    Abstract: Gate oxides having different thicknesses are formed on a semiconductor substrate by forming a first gate oxide on the top surface of the substrate, forming a sacrificial hard mask over a selected area of the first gate oxide; and then forming a second gate oxide. A first poly layer may be formed on the first gate oxide, under the hard mask. After the hard mask is removed, a second poly layer may be formed over the second gate oxide and over the first poly layer. This enables the use of high-k dielectric materials, and the first gate oxide can be thinner than the second gate oxide.
    Type: Grant
    Filed: November 28, 2003
    Date of Patent: January 9, 2007
    Assignee: International Business Machines Corporation
    Inventors: Anthony I-Chih Chou, Michael Patrick Chudzik, Toshiharu Furukawa, Oleg Gluschenkov, Paul Daniel Kirsch, Byoung Hun Lee, Katsunori Onishi, Heemyoung Park, Kristen Colleen Scheer, Akihisa Sekiguchi
  • Patent number: 7157339
    Abstract: A method for forming a dual gate oxide layer, including the steps of: a) forming a gate oxide layer on a semiconductor substrate; and b) increasing a thickness of a part of the gate oxide layer by performing a decoupled plasma treatment. Additional heat processes are not necessary because the dual gate oxide layer is formed with the decoupled plasma. Also, the channel characteristic of the semiconductor device can be ensured because the silicon substrate is not damaged. Furthermore, because the threshold voltage in the cell region is increased without additional channel ion implantation, the electrical characteristic of the semiconductor device can be enhanced.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: January 2, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Dae-Gyu Park, Tae-Ho Cha, In-Seok Yeo
  • Patent number: 7144767
    Abstract: A method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor by covering the p-type field effect transistor with a mask, and oxidizing a portion of a gate polysilicon of the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: December 5, 2006
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Omer H. Dokumaci, Oleg G. Gluschenkov
  • Patent number: 7129137
    Abstract: A method of manufacturing a semiconductor device includes forming a first insulating film having a first thickness in a first region on a semiconductor substrate, forming a first gate electrode on the first insulating film, and forming a second insulating film having a second thickness different from the first thickness on the semiconductor substrate and the first gate electrode. Then, the method includes forming a conductive film on the second oxide film and forming a first resist pattern and a second resist pattern respectively on the conductive film in the first region and on the conductive film of a second region different from the first region. Then, the method includes removing the conductive film by using the first resist pattern as a mask to form a second gate electrode covering the first gate electrode via the second insulating film and removing the conductive film by using the second resist pattern as a mask to form a third gate electrode on the second insulating film of the second region.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: October 31, 2006
    Assignee: NEC Corporation
    Inventor: Hiroki Matsumoto
  • Patent number: 7125776
    Abstract: A method of manufacturing a MOSFET type semiconductor device includes planarizing a gate material layer that is deposited over a channel. The planarization is performed in a multi-step process that includes an initial “rough” planarization and then a “fine” planarization. The slurry used for the finer planarization may include added material that tends to adhere to low areas of the gate material.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: October 24, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Krishnashree Achuthan, Shibly S. Ahmed, Haihong Wang, Bin Yu
  • Patent number: 7109085
    Abstract: A method for plasma assisted etching of a polysilicon containing gate electrode to reduce or avoid polysilicon notching at a base portion including providing a semiconducting substrate; forming a gate dielectric layer on the semiconducting substrate; forming a polysilicon layer on the gate dielectric; patterning a photoresist layer over the polysilicon layer for etching a gate electrode; carrying out a first plasma assisted etch process to etch through a major thickness portion of the polysilicon layer; carrying out a first inert gas plasma treatment; carrying out a second plasma assisted etch process to include exposing portions of the underlying gate dielectric layer; carrying out a second inert gas plasma treatment; and, carrying out a third plasma assisted etch process to fully expose the underlying gate dielectric layer adjacent either side of the gate electrodes.
    Type: Grant
    Filed: January 11, 2005
    Date of Patent: September 19, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shiang-Bau Wang, Li-Te Lin, Ming-Ching Chang, Ryan Chia-Jen Chen, Yuan-Hung Chiu, Hun-Jan Tao
  • Patent number: 7087492
    Abstract: A gate electrode layer is doped in a first section of a semiconductor substrate. By means of a patterning, encapsulated gate electrodes emerge from the gate electrode layer, which gate electrodes are arranged in a high packing density in a first section and are assigned to selection transistors of memory cells, and are arranged in a low packing density in a second section and are assigned to transistors of logic circuits. After a processing of the selection transistors, the encapsulated gate electrodes are uncovered in the second section and are subsequently doped in the same way in each case simultaneously with the respectively assigned source/drain regions. Together with a subsequent siliciding of the gate electrodes and of the source/drain regions, the performance of the transistors in the second section is significantly increased with little additional outlay.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: August 8, 2006
    Assignee: Infineon Technologies AG
    Inventors: Martin Popp, Lars Heineck
  • Patent number: 7084026
    Abstract: A region of an Si layer 15 located between source and drain regions 19 and 20 is an Si body region 21 which contains an n-type impurity of high concentration. An Si layer 16 and an SiGe layer 17 are, in an as grown state, undoped layers into which no n-type impurity is doped. Regions of the Si layer 16 and the SiGe layer 17 located between the source and drain regions 19 and 20 are an Si buffer region 22 and an SiGe channel region 23, respectively, which contain the n-type impurity of low concentration. A region of an Si film 18 located directly under a gate insulating film 12 is an Si cap region 24 into which a p-type impurity (5×1017 atoms·cm?3) is doped. Accordingly, a semiconductor device in which an increase in threshold voltage is suppressed can be achieved.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: August 1, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takeshi Takagi, Akira Inoue
  • Patent number: 7081389
    Abstract: Some embodiments provide a semiconductor substrate having a cell array region and a peripheral circuit region. A plurality of word line patterns are placed in the cell array region, each of which include a word line and a word line capping layer pattern stacked thereon. At least one gate pattern including a gate electrode and a gate capping layer pattern is formed in the peripheral circuit region, the gate capping layer pattern and the word line capping layer pattern having different etching selectivity ratios. A pad interlayer insulating layer and a bit line interlayer insulating layer having approximately the same etching selectivity ratio as the gate capping layer pattern are sequentially formed over a surface of the semiconductor substrate having the gate spacers.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: July 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho-Ouk Lee, Hyo-Dong Ban