Having Sidewall Patents (Class 438/366)
-
Patent number: 6774002Abstract: The present invention proposes a novel method to fabricate a Bipolar Junction Transistor device. The steps of the present invention include forming a shallow trench isolation structure in a substrate. An oxide layer is formed on the substrate. Subsequently, a polysilicon layer is next formed on the oxide layer, and the polysilicon layer has first type ion. Successively, a polysilicon layer is patterned on the oxide layer. The next step is to perform a second type ion implantation, thereby forming a collector region in the substrate and below the emitter window. The oxide layer is removed inside the emitter window. An expitaxy base is then formed on the polysilicon layer and substrate, thereby forming base region on the collector region, wherein the expitaxy base has the first type ion. After the expitaxy base is formed, a dielectric layer is formed over the expitaxy base. Next, the dielectric layer is etched to form inner spacer on sidewalls of the expitaxy base inside the emitter window.Type: GrantFiled: October 23, 2002Date of Patent: August 10, 2004Assignee: United Microelectronics Corp.Inventor: Shu-Ya Chuang
-
Patent number: 6764893Abstract: The present invention provides a method for reducing loading capacitance.Type: GrantFiled: December 9, 2002Date of Patent: July 20, 2004Assignee: Hynix Semiconductor Inc.Inventors: Sung-Kwon Lee, Dong-Sauk Kim
-
Publication number: 20040127007Abstract: A method of formation a gate in a semiconductor device includes forming a gate oxide layer and a sacrificial layer on a semiconductor substrate. The sacrificial layer is then selectively etched to form a sidewall opening. Next, a polycrystalline silicon layer is formed on an area of the gate oxide layer exposed through the sidewall opening and on the sacrificial layer. Anisotropic etching of the polycrystalline silicon layer is performed such that sidewall gates are formed by remaining portions of the polycrystalline silicon layer on sidewalls of the sidewall opening, a width of the sidewall gates corresponding to a desired width of a gate. The sacrificial layer is removed following etching of the polycrystalline silicon layer.Type: ApplicationFiled: December 15, 2003Publication date: July 1, 2004Inventor: Young-Hun Seo
-
Publication number: 20040115894Abstract: Disclosed is a method of manufacturing a semiconductor device. A gate is formed on a given region of a semiconductor substrate. Spacers are then formed using DCS-HTO or TEOS. Hydrogen remaining within the spacers is removed by a RTA process under nitrogen atmosphere and nitride films are formed on the spacers at the same time. In case of a flash memory device, a retention characteristic can be improved. A process of forming the nitride film additionally required in a subsequent contact hole formation process may be omitted. The sheet resistance of the gate could be improved by promoting growth of a crystal grain of a tungsten silicide film constituting a control gate.Type: ApplicationFiled: July 2, 2003Publication date: June 17, 2004Inventors: Sang Wook Park, Seung Cheol Lee
-
Patent number: 6727155Abstract: A method forming sidewall spacers on a semiconductor substrate without using the conventional plasma etching method is disclosed. In the method, a semiconductor substrate that has a gate structure formed on a top surface is first provided, followed by the deposition of a dielectric material layer on top of the semiconductor substrate. The substrate is then rotated to a rotational speed of at least 50 rpm, and an acid vapor is flown onto the substrate until the sidewall spacers are formed. The dielectric material layer for forming the sidewall spacers may be SiO2, SiON or Si3N4. The acid vapor utilized may be formed from an acid of HF, H3PO4, H2SO4 or HCl. In a preferred embodiment, the semiconductor substrate may be rotated to a rotational speed between about 100 rpm and about 150 rpm for a time period between about 10 sec. and about 20 sec.Type: GrantFiled: December 18, 2002Date of Patent: April 27, 2004Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Jiunn-Der Yang, Chaucer Chnug, Yuan-Chang Huang
-
Patent number: 6720226Abstract: Gate insulating film, gate electrode made up of lower and upper gate electrodes, and on-gate passivation film are formed in this order on an Si substrate. Then, a sidewall is formed as a stack of an oxynitride sidewall having an L-shaped cross section and a nitride sidewall, so as to surround the gate electrode and on-gate passivation film. Alternatively, only the lower edge of an L-oxide sidewall may be changed into an oxynitride region. Or an oxide or stacked sidewall and a nitride sidewall, covering the oxide or stacked sidewall, may be formed instead of the oxynitride sidewall. In any of these embodiments, the lower edge of the sidewall is not removed during a wet etching process.Type: GrantFiled: May 20, 2003Date of Patent: April 13, 2004Assignee: Matsushita Electric Industrial Co., Ltd.Inventor: Mizuki Segawa
-
Patent number: 6713361Abstract: According to one embodiment of the invention, a method for manufacturing bipolar junction transistors includes disposing a first oxide layer between a semiconductor substrate and a base polysilicon layer, forming a dielectric layer outwardly from the base polysilicon layer, and forming an emitter region by removing a portion of the dielectric layer and a portion of the base polysilicon layer. The method further includes removing a portion of the first oxide layer to form undercut regions adjacent the emitter region and to enlarge the emitter region, and forming an oxide pad outwardly from the semiconductor substrate in the emitter region.Type: GrantFiled: September 14, 2001Date of Patent: March 30, 2004Assignee: Texas Instruments IncorporatedInventors: Samuel Z. Nawaz, Jeffrey E. Brighton
-
Patent number: 6661055Abstract: The present invention relates to a transistor in a semiconductor device and method of manufacturing the same. According to the present invention, the transistor has an auxiliary electrode to which a voltage is applied apart from a gate electrode and formed at both sides of the gate electrode. In a transistor that is turned on/off depending on a voltage applied to the gate electrode, a region where the gate electrode and the source/drain overlap is maintained to have the same voltage by the auxiliary electrode by always applying a high voltage to the auxiliary electrode upon an on operation of the transistor even when the gate electrode becomes a zero (0) volt upon a refresh operation of a DRAM device. Therefore, the present invention can prevent generation of GIDL current. Further, even though the gate electrode is continuously turned on/off, the auxiliary electrode always maintains the same voltage between the gate electrode and the bit line.Type: GrantFiled: December 27, 2001Date of Patent: December 9, 2003Assignee: Hynix Semiconductor Inc.Inventor: Jai Bum Suh
-
Patent number: 6642119Abstract: The present invention relates to a method of forming a transistor and a transistor structure. The invention comprises forming the transistor using a double silicide process which reduces resistance and reduces the floating-body-effect when employed in conjunction with SOI type device architecture.Type: GrantFiled: August 8, 2002Date of Patent: November 4, 2003Assignee: Advanced Micro Devices, Inc.Inventors: Mario M. Pelella, Shankar Sinha, Simon S. Chan
-
Patent number: 6627504Abstract: Bridging between nickel suicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by recessing the silicon nitride spacers and forming barrier spacers on top of the silicon nitride spacers. The barrier spacers prevent silicon migration and hence the formation of bridging silicide on the silicon nitride sidewall spacers.Type: GrantFiled: February 7, 2001Date of Patent: September 30, 2003Assignee: Advanced Micro Devices, Inc.Inventors: Jacques J. Bertrand, George J. Kluth
-
Patent number: 6624034Abstract: A method of producing a semiconductor device includes forming a gate electrode on a channel region on a surface of a semiconductor region of a semiconductor substrate, the channel region having a depth in the semiconductor substrate; forming a first pair of side wall spacers on opposite sides of the gate electrode; forming elevated semiconductor layers, each elevated semiconductor layer being elevated relative to the channel region, on regions outside of the pair of side wall spacers and in which source and drain regions of a first conductivity type are to be formed; removing the pair of first side wall spacers; and forming a pair of pocket injection regions of a second conductivity type by introducing, after the side wall spacers are removed, a dopant impurity producing the second conductivity type deeper in the semiconductor substrate than a region where the side wall spacers were formed, the pair of pocket injection regions respectively covering only a neighborhood of respective side surface parts of the cType: GrantFiled: June 19, 2002Date of Patent: September 23, 2003Assignee: Mitsubishi Denki Kabushiki KaishaInventors: Yuji Abe, Naruhisa Miura, Kohei Sugihara, Toshiyuki Oishi, Yasunori Tokuda
-
Patent number: 6610571Abstract: A new method is provided for the removal of liner oxide from the surface of a gate electrode during the creation of the gate electrode. A layer of gate oxide is formed over the surface of a substrate, a layer of gate electrode such as polyimide is deposited over the layer of gate oxide. The gate electrode and the layer of gate oxide are patterned. A layer of liner oxide is deposited, gate spacers are formed over the liner oxide, exposing surfaces of the liner oxide. The created structure is nitrided by a plasma stream containing N2/H2, reducing the etch rate of the exposed liner oxide. The liner oxide is then removed by applying a wet etch, contact regions to the gate electrode are salicided.Type: GrantFiled: February 7, 2002Date of Patent: August 26, 2003Assignee: Taiwan Semiconductor Manufacturing CompanyInventors: Ying-Lin Chen, Chiang-Lang Yen, Ling-Sung Wang
-
Patent number: 6607961Abstract: A method for defining, on the upper surface of a substrate, two self-aligned areas, including the steps of depositing a protective layer; depositing a covering layer; opening the protective and covering layers at a location substantially corresponding to the desired border of the two areas; forming a spacer along the side of the opening, this spacer having a rear portion against said border and an opposite front portion; opening the protective and covering layers behind the rear portion of the spacer; and removing the protection layer to reach the rear portion of the spacer; whereby two self-aligned areas are defined on either side of the spacer length.Type: GrantFiled: October 25, 2000Date of Patent: August 19, 2003Assignee: STMicroelectronics S.A.Inventor: Yvon Gris
-
Patent number: 6593198Abstract: Gate insulating film, gate electrode made up of lower and upper gate electrodes, and on-gate passivation film are formed in this order on an Si substrate. Then, a sidewall is formed as a stack of an oxynitride sidewall having an L-shaped cross section and a nitride sidewall, so as to surround the gate electrode and on-gate passivation film. Alternatively, only the lower edge of an L-oxide sidewall may be changed into an oxynitride region. Or an oxide or stacked sidewall and a nitride sidewall, covering the oxide or stacked sidewall, may be formed instead of the oxynitride sidewall. In any of these embodiments, the lower edge of the sidewall is not removed during a wet etching process.Type: GrantFiled: September 18, 2001Date of Patent: July 15, 2003Assignee: Matsushita Electric Industrial Co., Ltd.Inventor: Mizuki Segawa
-
Publication number: 20030045066Abstract: A method for forming a self-aligned bipolar transistor includes the steps of combination etching a silicon substrate in an opening to form a concave surface on the silicon substrate, and forming an intrinsic base and an associated emitter on the concave surface. The combination etching includes an isotropic etching and subsequent wet etching. The concave surface increases the distance between the external base for the intrinsic base and the emitter to thereby increase the emitter-base breakdown voltage.Type: ApplicationFiled: August 26, 2002Publication date: March 6, 2003Applicant: NEC COMPOUND SEMICONDUCTOR DEVICES, LTD.Inventor: Tomohiro Igarashi
-
Patent number: 6506655Abstract: A method of manufacturing a bipolar transistor in an N-type semiconductor substrate, including the steps of depositing a first base contact polysilicon layer and doping it; depositing a second silicon oxide layer; forming in the first and second layers an opening; annealing to form a third thin oxide layer and harden the second oxide layer; implanting a P-type dopant; depositing a fourth silicon nitride layer; depositing a fifth silicon oxide layer and etching it; anisotropically etching the fifth, fourth, and third layers; performing cleanings during which the fifth layer is reetched and takes a flared profile; depositing a sixth polysilicon layer; and implanting an N-type dopant.Type: GrantFiled: March 2, 2000Date of Patent: January 14, 2003Assignee: STMicroelectronics S.A.Inventors: Yvon Gris, Germaine Troillard
-
Patent number: 6479362Abstract: An improved gate electrode provides greater tolerances to higher temperature annealing treatments, and is useful in connection with the formation of self-aligned contacts as are needed for high density embedded DRAM applications. Consistent with one embodiment, a process for manufacturing a polycide transistor gate electrode involves forming a cap dielectric and dielectric spacer, with the electrode exhibiting a reduced diffusion transport of dopants between an underlying doped polysilicon layer and an overlying suicide layer. The reduced transport results from the presence of a thin barrier layer between the doped polysilicon layer and silicide layer, and the gate electrode process forms a thermally-oxidized thin polysilicon side-wall film against the polysilicon layer, the barrier layer, the silicide layer, and the cap dielectric layer. The polysilicon side-wall film is used for blocking substantial oxidation of the barrier film.Type: GrantFiled: February 14, 2001Date of Patent: November 12, 2002Assignee: Koninklijke Philips Electronics N.V.Inventor: James A. Cunningham
-
Patent number: 6465317Abstract: A transistor manufacturing process includes the formation, on a layer (15) that will form the base of the transistor, of a stack of an SiGe alloy layer (16), a silicon oxide layer (17) and a silicon nitride layer (18), so as to form in this layer, a false emitter (20), to form, in the layer (15) that will form the base, an extrinsic base region (22) and to siliconize the surface of this extrinsic base region, to cover the extrinsic base region (22) and the false emitter (20) with a silicon dioxide layer (24) which is chemically and mechanically polished down to the level of the false emitter (20), to etch the false emitter (20) in order to form a window (25) and to form, in the window (25) and on the silicon dioxide layer (24), a polysilicon emitter (27). This process has particular application to manufacturing heterojunction bipolar transistors.Type: GrantFiled: January 19, 2001Date of Patent: October 15, 2002Assignee: STMicroelectronics S.A.Inventor: Michel Marty
-
Patent number: 6458667Abstract: An improved MOS transistor and method for making it are described. The MOS transistor's source and drain have a first conductivity type and are separated from each other by a first region having a second conductivity type opposite to the first conductivity type. A second region, also having the second conductivity type, is formed adjacent to the drain and is separated from the first region by the drain.Type: GrantFiled: March 21, 2000Date of Patent: October 1, 2002Assignee: Intel CorporationInventors: Sunit Tyagi, Shahriar S. Ahmed
-
Patent number: 6436782Abstract: The process includes successively forming, over a base region of a semiconductor substrate, a poly-Ge or poly-SiGe layer, an etch-stop layer over a selected zone of the Ge or SiGe layer, a layer of poly-Si of the same conductivity type as the base region, then an outer layer of dielectric material. Etching the layers includes stopping at the stop layer to form an emitter window preform, removing the stop film and selectively removing the Ge or SiGe layer in the emitter window preform to form an emitter window and to form an emitter made of poly-Si of conductivity type the opposite of the base region in the window.Type: GrantFiled: February 28, 2001Date of Patent: August 20, 2002Assignee: STMicroelectronics S.A.Inventors: Alain Chantre, Michel Marty, Hélène Baudry
-
Patent number: 6436781Abstract: A semiconductor device including a bipolar transistor formed by epitaxial growth or ion implantation is provided has an epitaxial silicon collector layer, a base region directly under an emitter defined as an intrinsic base and a peripheral region thereof defined as an outer base region is formed by the step of implanting ions into the collector layer to form a high concentration collector region at a location close to a buried region using a photoresist to form an aperture, and the step of implanting ions into the collector layer to form a high concentration collector region directly beneath the base region after forming the base region.Type: GrantFiled: February 26, 2001Date of Patent: August 20, 2002Assignee: NEC CorporationInventor: Fumihiko Sato
-
Patent number: 6436783Abstract: (issue) It is an issue to suppress variation in threshold voltage due to deterioration in shot channel characteristics and improve the slow trap characteristics of the MOS transistor for suppressing variation in threshold voltage of the transistor for a long-term use. (means for solving the issue) fluorine ions are implanted into a surface of a silicon substrate 1 but a peripheral region of a gate electrode on a p-MOS formation region. A first heat treatment is carried out for removing inter-lattice silicon atoms generated upon ion-implantation. Thereafter, a second heat treatment is carried out for diffusing fluorine ions into a region directly under the gate electrode. The first heat treatment is a lamp anneal such as RTA, and the second heat treatment is a furnace anneal.Type: GrantFiled: September 18, 2000Date of Patent: August 20, 2002Assignee: NEC CorporationInventors: Atsuki Ono, Nobuaki Hamanaka
-
Patent number: 6399452Abstract: A low thermal budget transistor is fabricated by first forming a gate on a semiconductor substrate. First amorphous regions and first inactive dopant regions are then created in the substrate by ion implantation. Sidewall spacers, which align subsequent implantation steps, are formed adjacent to the gate. Thereafter, second amorphous regions and second inactive dopant regions are created in the substrate by ion implantation. Dopants in the first and second inactive dopant regions are then activated using a low temperature annealing process to create source/drain regions and source/drain extension regions. The aforementioned process simplifies the fabrication of a low thermal budget transistor by dispensing with the requirement to remove the sidewall spacers.Type: GrantFiled: July 8, 2000Date of Patent: June 4, 2002Assignee: Advanced Micro Devices, Inc.Inventors: Srinath Krishnan, Witold Maszara
-
Patent number: 6368946Abstract: A method of manufacturing a semiconductor device with an epitaxial semiconductor zone, whereby a first layer of insulating material, a first layer of non-monocrystalline silicon, and a second layer of insulating material are provided in that order on a surface of a silicon wafer, a window with a steep wall is etched through the second layer of insulating material and the first layer of non-monocrystalline silicon, the wall of the window is provided with a protective layer, the first insulating layer is selectively etched away within the window and below an edge of the first layer of non-monocrystalline silicon adjoining the window such that both the edge of the first layer of non-monocrystalline silicon itself and the surface of the wafer become exposed within the window and below said edge, semiconductor material is selectively deposited such that the epitaxial semiconductor zone is formed on the exposed surface of the wafer, and an edge of polycrystalline semiconductor material connected to the epitaxiType: GrantFiled: March 24, 1997Date of Patent: April 9, 2002Assignee: U.S. Phillips CorporationInventors: Ronald Dekker, Cornelis E. Timmering, Doede Terpstra, Wiebe B. De Boer
-
Patent number: 6365451Abstract: A method of fabricating a semiconductor device and the device. The device is fabricated by providing a substrate having a region thereover of electrically conductive material, and a dielectric first sidewall spacer on the region of electrically conductive material. A second sidewall spacer is formed over the first sidewall spacer extending to the substrate from a material which is selectively removal relative to the first sidewall spacer. An electrically conductive region is formed contacting the second sidewall spacer and spaced from the substrate. The second sidewall spacer is selectively removable to form an opening between the substrate and the electrically conductive region. The opening is filled with electrically conductive material to electrically couple the electrically conductive material to the substrate.Type: GrantFiled: March 29, 2001Date of Patent: April 2, 2002Assignee: Texas Instruments IncorporatedInventor: Robert H. Havemann
-
Patent number: 6362066Abstract: The present invention is related to a bipolar transistor in which the in-situ doped epitaxial Si or SiGe base layer is used instead of using an ion-implanted Si base, in order to achieve higher cutoff frequency. The SiGe base having the narrower energy bandgap than the Si emitter allows to enhance the current gain, the cutoff frequency (fT), and the maximum oscillation frequency (fmax). The narrow bandgap SiGe base also allows to have higher base doping concentration. As a result, the intrinsic base resistance is lowered and the noise figure is thus lowered. Parasitic base resistance is also minimized by using a metallic silicide base ohmic electrode. The present invention is focused on low cost, high repeatability and reliability by simplifying the manufacturing process step.Type: GrantFiled: December 22, 1999Date of Patent: March 26, 2002Assignee: ASB Inc.Inventors: Byung Ryul Ryum, Tae Hyeon Han, Soo Min Lee, Deok Ho Cho
-
Patent number: 6355533Abstract: A method for manufacturing a semiconductor device includes the steps of preparing a semiconductor substrate provided with a cell area and a peripheral area, forming gate structures, forming a first side wall spacer on a side of each gate structure, growing up a single crystal silicon layer formed on an exposed portion of the semiconductor substrate by using a selective epitaxial growth method, forming a second and a third patterned insulating layers in the cell area and forming a second and a third side wall spacers in the peripheral area, carrying out an ion implantation to semiconductor substrate in the peripheral area, removing the third patterned insulating layer and the third side wall spacer, forming an interlayer insulating layer on the semiconductor substrate and the gate structures, patterning the interlayer insulating layer into a second predetermined configuration, whereby the interlayer insulating layer does not remain in the cell area and the second patterned insulating layer is patterned into aType: GrantFiled: December 20, 2000Date of Patent: March 12, 2002Assignee: Hyundai Electronics Industries Co., Ltd.Inventor: Jung-Ho Lee
-
Patent number: 6339006Abstract: The invention relates to a flash EEPROM cell and method of manufacturing the same.Type: GrantFiled: June 30, 2000Date of Patent: January 15, 2002Assignee: Hyundai Electronics Ind. Co., Ltd.Inventors: Min Kyu Lee, Hee Hyun Chang, Hee Youl Lee, Dong Kee Lee
-
Publication number: 20010034104Abstract: A method for manufacturing a semiconductor device includes the steps of preparing a semiconductor substrate provided with a cell area and a peripheral area, forming gate structures, forming a first side wall spacer on a side of each gate structure, growing up a single crystal silicon layer formed on an exposed portion of the semiconductor substrate by using a selective epitaxial growth method, forming a second and a third patterned insulating layers in the cell area and forming a second and a third side wall spacers in the peripheral area, carrying out an ion implantation to semiconductor substrate in the peripheral area, removing the third patterned insulating layer and the third side wall spacer, forming an interlayer insulating layer on the semiconductor substrate and the gate structures, patterning the interlayer insulating layer into a second predetermined configuration, whereby the interlayer insulating layer does not remain in the cell area and the second patterned insulating layer is patterned into aType: ApplicationFiled: December 20, 2000Publication date: October 25, 2001Inventor: Jung-Ho Lee
-
Publication number: 20010013625Abstract: A diode structure compatible with silicide processes for electrostatic discharge protection is disclosed. The diode structure comprises a semiconductor layer of a first conductivity type, a diffusion region of a second conductivity type formed in the semiconductor layer, and a doped region of the second conductivity type formed in the semiconductor layer around the diffusion region. The doped region has a doping concentration less than that of the diffusion region to provide a ballastic resistance under a high current stressing condition.Type: ApplicationFiled: March 18, 1999Publication date: August 16, 2001Applicant: Winbond Electronics Corp.Inventor: TA-LEE YU
-
Patent number: 6271068Abstract: A method for making an improved polysilicon emitter for a bipolar transistor in a BiCMOS integrated circuit is achieved. The method uses a novel stacked undoped amorphous silicon layer and a doped polysilicon layer. The polysilicon layer is doped by ion implantation while the amorphous silicon layer remains undoped. The stacked layer is patterned to form a polysilicon emitter source over the bipolar transistor, while concurrently forming gate electrodes for the FETs. The undoped amorphous silicon layer retards the diffusion from the doped polysilicon to provide a shallower emitter junction during subsequent thermal processing. At a later step a rapid thermal anneal (RTA) is carried out in which the amorphous silicon layer provides better control of the diffused emitter depth (junction) while concurrently activating the implant dopant in the FET source/drain areas.Type: GrantFiled: January 8, 2001Date of Patent: August 7, 2001Assignee: Taiwan Semiconductor Manufacturing CompanyInventors: Yung-Lung Hsu, Ruey-Hsin Liou
-
Publication number: 20010002061Abstract: An emitter contact structure including a silicon substrate having a collector region, a base region within the collector region, and an emitter region within the base region. A base polysilicon layer positioned on the silicon substrate in contact with the base region and defining an aperture, with side walls, exposing the base and emitter regions of the silicon substrate. A spacer extending upwardly from the silicon substrate and formed to cover the side walls, the spacer covering the base region and partially covering the emitter region. An emitter polysilicon layer positioned entirely within the aperture in engagement with the emitter region, the spacer and the substrate without overlapping the base polysilicon layer.Type: ApplicationFiled: December 14, 2000Publication date: May 31, 2001Inventor: F. Scott Johnson
-
Patent number: 6165860Abstract: There is provided a method of fabricating a semiconductor device, including the steps of, in sequence, (a) partially forming a buried layer in a semiconductor substrate and also forming an epitaxial layer on the buried layer, (b) forming a collector region in the epitaxial layer by selectively introducing impurities into the epitaxial layer so that the collector region reaches the buried layer, (c) forming an insulating film on the epitaxial layer, (d) forming a polysilicon film on the insulating film, (e) patterning the polysilicon film to form a base electrode, (f) forming an interlayer insulating film over the base electrode and the insulating film, (g) patterning both the interlayer insulating film and the base electrode to form a base opening at a region at which a base region is to be formed and a collector opening above the collector region, (h) side-etching portions of the insulating film located below the base electrode to form undercut hollow portions in the insulating film, (i) filling the undercutType: GrantFiled: August 5, 1999Date of Patent: December 26, 2000Assignee: NEC CorporationInventor: Takeshi Watanabe
-
Patent number: 6156595Abstract: A method for producing a bipolar transistor and an MOS transistor of the present invention includes the steps of: forming a first insulation film in an MOS transistor region where the MOS transistor is to be formed and in a bipolar transistor region where the bipolar transistor is to be formed; forming a first conductive film and a second insulation film on the first insulation film; and removing the second insulation film, the first conductive film and the first insulation film from the bipolar transistor region.Type: GrantFiled: October 8, 1998Date of Patent: December 5, 2000Inventor: Shigeki Sawada
-
Patent number: 6133131Abstract: The present invention relates to a method of forming a gate spacer on the semiconductor wafer. Two dielectric layers are first formed on the surface of the semiconductor wafer, the first dielectric layer is an USG dielectric layer and the second dielectric layer is a SOG dielectric layer. The SOG dielectric layer is formed by a spincoating process to create a flat surface on the semiconductor wafer. Afterward, the plasma etching, wet etching and dry etching processes are sequentially performed to remove the SOG dielectric layer and USG dielectric layer. Finally, the spacer is formed on the side-wall of the gate.Type: GrantFiled: April 19, 1999Date of Patent: October 17, 2000Assignee: United Microelectronics Corp.Inventor: Yeong-Chih Lai
-
Patent number: 6124167Abstract: A method used during the formation of a semiconductor device comprises the steps of forming a polycrystalline silicon layer over a semiconductor substrate assembly and forming a silicon nitride layer over the polycrystalline silicon layer. A silicon dioxide layer is formed over the silicon nitride layer and the silicon dioxide and silicon nitride layers are patterned using a patterned mask having a width, thereby forming sidewalls in the two layers. The nitride and oxide layers are subjected to an oxygen plasma which treats the sidewalls and leaves a portion of the silicon nitride layer between the sidewalls untreated. The silicon dioxide and the untreated portion of the silicon nitride layer are removed thereby resulting in pillars of treated silicon nitride. Finally, the polycrystalline silicon is etched using the pillars as a mask. The patterned polycrystalline silicon layer thereby comprises features having widths narrower than the width of the original mask.Type: GrantFiled: August 6, 1999Date of Patent: September 26, 2000Assignee: Micron Technology, Inc.Inventors: David Y. Kao, Li Li
-
Patent number: 6117744Abstract: Disclosed is a method of fabricating a semiconductor device for preventing occurrence of an inconvenience due to exposure of a high melting point metal based material, for example, contamination of a chamber atmosphere due to the metal material upon formation of a semiconductor layer in an opening portion by selective epitaxial growth or upon pre-treatment thereof, to reduce occurrence of crystal defects or the like, thereby forming semiconductor devices at a high yield. The method includes the steps of: forming a conductive layer including a high melting point metal based material on a substrate; forming an opening portion in the conductive layer; covering the high melting point metal based material with a film; and forming a semiconductor layer on a portion of the substrate exposed in the opening portion by epitaxial growth after the step of covering the high melting point metal based material with the film.Type: GrantFiled: March 11, 1998Date of Patent: September 12, 2000Assignee: Sony CorporationInventor: Hiroaki Ammo
-
Patent number: 6103578Abstract: An n type diffusion region and a p type diffusion region are formed in a region sandwiched between trenches arranged at a first main surface of a semiconductor substrate. A p type well is formed in the n- and p-type diffusion regions nearer the first main surface. A source n.sup.+ diffusion region is formed at the first main surface within the p type well. A gate electrode layer is formed opposite to the p type well sandwiched between the n type diffusion region and the source n.sup.+ diffusion region with a gate insulating layer disposed therebetween. The n- and p-type diffusion regions each have an impurity concentration distribution diffused from a sidewall surface of a trench. Thus, a fine, micron-order pn repeat structure can be achieved with sufficient precision and a high breakdown voltage semiconductor device is thus obtained which has superior on-state voltage and breakdown voltage as well as fast switching characteristics.Type: GrantFiled: April 2, 1999Date of Patent: August 15, 2000Assignee: Mitsubishi Denki Kabushiki KaishaInventors: Akio Uenishi, Tadaharu Minato
-
Patent number: 6071777Abstract: A process for making a self-aligned select gate for a split-gate flash memory structure uses a patterned nitride layer and a photoresist layer to serve as masks to define a select gate length, facilitates a self-aligned ion implantation to form a drain region of a memory cell, and defines a distance between the select gate and the drain region.Type: GrantFiled: April 29, 1999Date of Patent: June 6, 2000Assignee: Winbond Electronics CorporationInventor: Bin Shing Chen
-
Patent number: 6063681Abstract: Semiconductor device and method for fabricating the same, is disclosed, in which LDD regions and source/drain regions are provided with a silicide for reducing resistances to prevent short channel, the device including a gate insulating film and a gate electrode formed stacked on a prescribed region of a semiconductor substrate, sidewall spacers formed at both sides of the gate insulating film and the gate electrode, first impurity regions formed in surfaces of the semiconductor substrate under the sidewall spacers, second impurity regions formed in the semiconductor substrate on both sides of the sidewall spacers and the first impurity regions, first silicide films at surfaces of the first impurity regions, and second silicide films at surfaces of the gate electrode and the second impurity regions.Type: GrantFiled: July 20, 1998Date of Patent: May 16, 2000Assignee: LG Semicon Co., Ltd.Inventor: Jeong Hwan Son
-
Patent number: 6054358Abstract: A partial oxide film on a base region is removed to form an opening, a polycrystalline silicon film is deposited directly thereon, and by dry etching, the polycrystalline silicon film is divided into a region including an impurity of same conductive type as the base, and a region including an impurity of reverse conductive type of the base. By heat treatment, the impurity is diffused from the polycrystalline silicon film into the base region, and an external base diffusion layer and an emitter diffusion layer are formed. In succession, the surface of the polycrystalline silicon film is formed into polyside film to lower the resistance, and by using the polycrystalline silicon film as emitter electrode and base electrode, a fine base and emitter area is realized.Type: GrantFiled: August 20, 1998Date of Patent: April 25, 2000Assignee: NEC CorporationInventor: Tetsumasa Okamoto
-
Patent number: 6020246Abstract: An improved method and an apparatus for forming a self-aligned epitaxial base bipolar transistor in a semiconductor material is disclosed. The method of the invention involves forming an intrinsic base region formed by growing an epitaxial semiconductor material over a collector region. A raised sacrificial emitter core is then formed on the intrinsic base region followed by depositing a substantially conformal spacer layer over the sacrificial emitter core. Next, the spacer material is anisotropically etched such that a protective spacer ring is formed about the sacrificial emitter core. An extrinsic base is then formed by implanting dopant into the epitaxial base region wherein the sacrificial emitter core and the spacer ring preserve an emitter region. The spacer ring also serves to self-align the extrinsic base region to the emitter region. The protective sacrificial emitter core and spacer ring are then removed.Type: GrantFiled: March 13, 1998Date of Patent: February 1, 2000Assignee: National Semiconductor CorporationInventors: Waclaw C. Koscielniak, Kulwant S. Egan, Jayasimha S. Prasad
-
Patent number: 6004855Abstract: A process for producing a small shallow-depth high-performance bipolar structure having low parasitic capacitance is disclosed wherein an active base region of a P-type material is first defined in a substrate, a portion of which is of N-type material in a device formation area surrounded by an isolating oxide regions, such as trenches or the like. An N-doped polysilicon layer is then defined over the active base region and over field oxide regions located atop the isolating trenches. This N-poly region, when treated, will provide an interdigitated collector with self aligning emitter region aligned over the active base region. After appropriate spaced isolation layers are placed, a P-poly layer is laid down and heat treated to cause the P-type doping material to diffuse into the substrate contact to the active base region. A thin buried collector layer, approximately 1.Type: GrantFiled: October 29, 1997Date of Patent: December 21, 1999Assignee: Synergy Semiconductor CorporationInventors: Larry Joseph Pollock, George William Brown
-
Patent number: 5940711Abstract: A process for forming a structure of a high-frequency bipolar transistor on a layer of a semiconductor material with conductivity of a first type. The process includes forming a first shallow base region by implantation along a selected direction of implantation and using a dopant with a second type of conductivity. The region extends from a first surface of the semiconductor material layer and encloses, toward said first surface, an emitter region with conductivity of the first type. In accordance with the invention, the implantation step includes at least one process phase at which the direction of implantation is maintained at a predetermined angle significantly greatly than zero degrees from the direction of a normal line to said first surface. Preferably, the implantation angle is of about 45 degrees.Type: GrantFiled: July 25, 1997Date of Patent: August 17, 1999Assignee: STMicroelectronics, S.r.L.Inventor: Raffaele Zambrano
-
Patent number: 5904536Abstract: A polysilicon emitter of a bipolar device is formed utilizing a self-aligned Damascene technique. An oxide mask is patterned over epitaxial silicon implanted to form the intrinsic base. The oxide mask is then etched to form a window. Polysilicon is uniformly deposited over the oxide mask and into the window. The polysilicon is then polished to remove polysilicon outside of the window. Etching of the oxide mask follows, with good selectivity of oxide over silicon. This selectivity produces a polysilicon emitter atop an intrinsic base, the base flush with the silicon surface rather than recessed because of overetching associated with conventional processes.Type: GrantFiled: May 1, 1998Date of Patent: May 18, 1999Assignee: National Semiconductor CorporationInventor: Christopher S. Blair
-
Patent number: 5866462Abstract: Emitter widths of 0.3 .mu.m on double polysilicon bipolar transistors are achieved using O.8 .mu.m photolithography and a double spacer process. The emitter width reduction is confirmed with structural and electrical measurements. The double-spacer device exhibits superior low current f.sub.T and f.sub.max.Type: GrantFiled: September 29, 1995Date of Patent: February 2, 1999Assignee: Analog Devices, IncorporatedInventors: Curtis Tsai, Kenneth K. O, Brad W. Scharf
-
Patent number: 5846868Abstract: An embodiment of the present invention is a process for semiconductor device having a silicon substrate. The process comprises positioning at least one field implant mask and field implanting a silicon substrate around a bipolar active region in a substrate such that boron atoms are blocked out of an active region, and only the field region surrounding the active area is implanted, the implanting such that a predetermined layout area of a semiconductor device does not need to be increased to compensate for a BV.sub.bso problem.Type: GrantFiled: May 31, 1995Date of Patent: December 8, 1998Assignee: Integrated Device Technology, Inc.Inventors: Chuen-Der Lien, Kyle Wendell Terrill
-
Patent number: 5840613Abstract: A semiconductor device including a bipolar transistor is provided, which can reduce the base resistance of the transistor. This device includes a semiconductor base region having a first semiconductor active region of a first conductivity type in its inside. A first insulating layer is formed on the main surface of the substructure to cover the first active region. The first insulating layer has a first penetrating window exposing the first active region. A semiconductor contact region of a second conductivity type is formed on the first insulating layer. The contact region has an overhanging part which overhangs the first window. The second window is defined by the inner end of the overhanging part to be entirely overlapped with the first window. The contact region is made of a polycrystalline semiconductor. A second semiconductor active region of the second conductivity type is formed on the first active region in the first window.Type: GrantFiled: June 12, 1997Date of Patent: November 24, 1998Assignee: NEC CorporationInventor: Fumihiko Sato
-
Patent number: 5824589Abstract: A bipolar transistor has a performance and high reliability, which are by enhancing a withstand voltage between an emitter and a base. The bipolar transistor includes a first impurity diffusion layer in a semiconducting substrate, a first conductive film connected to the first diffusion layer, and an opening disposed in the first conductive film. A second impurity diffusion layer is formed in a portion, exposed from the opening portion, of the semiconducting substrate and is connected to the first impurity diffusion layer. A third impurity diffusion layer is formed so as to contain the second diffusion layer and side walls are formed on the side walls of the opening. A fourth impurity diffusion layer is formed in the third impurity diffusion layer in the opening surrounded by the side walls.Type: GrantFiled: August 21, 1997Date of Patent: October 20, 1998Assignee: Sony CorporationInventor: Hiroyuki Miwa
-
Patent number: 5789285Abstract: In a BiMOS semiconductor device, emitter and base electrodes formed by polycrystalline Si of a bipolar transistor are isolated from each other by way of a sidewall and an insulator layer. As this insulator layer acts as an offset during the formation of the sidewall, its layer thickness can be made larger. Further, as this insulator layer is not provided in a MOS region, its step can be made smaller. Consequently, parasitic capacitance can be reduced while the insulator layer can be made thicker. Thus, there can be achieved both fast operation and high reliability of the bipolar transistor and, moreover, reduction in the reliability of a MOS transistor can also be prevented.Type: GrantFiled: September 27, 1996Date of Patent: August 4, 1998Assignee: Sony CorporationInventor: Ikuo Yoshihara