Utilizing Oxidation Mask Having Polysilicon Component Patents (Class 438/448)
  • Patent number: 10777684
    Abstract: A vertical pillar device includes a substrate, one or more pillars, a drain section, and a source section. The one or more pillars include a first end and a second end. The first end is connected to the substrate at a first interface. The substrate and the one or more pillars are made of different materials. The drain section surrounds the one or more pillars near the first end and away from the first interface. The source section connects to the one or more pillars at the second end.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: September 15, 2020
    Assignee: Avago Technologies International Sales Pte. Limited
    Inventor: Qing Liu
  • Patent number: 9780259
    Abstract: A light-emitting device comprises a textured substrate comprising a plurality of textured structures, wherein the textured structures and the textured substrate are both composed of sapphire; and a light-emitting stack overlaying the textured substrate, comprising a first conductivity type semiconductor layer, an active layer, and a second conductivity type semiconductor layer, wherein each of the plurality of textured structures comprises a top portion having a first top-view shape, and a bottom portion parallel to the top portion and having a second top-view shape, wherein the first top-view shape comprises a circle or an ellipse, the first top-view shape comprises a first periphery and the second top-view shape comprises a second periphery, the first periphery is enclosed by the second periphery, and various distances are between each of the first periphery and the second periphery.
    Type: Grant
    Filed: January 4, 2016
    Date of Patent: October 3, 2017
    Assignee: EPISTAR CORPORATION
    Inventors: Ta-Cheng Hsu, Ya-Lan Yang, Ying-Yong Su, Ching-Shian Yeh, Chao-Shun Huang, Ya-Ju Lee
  • Patent number: 9153733
    Abstract: A method of manufacturing a light emitting diode (LED) substrate includes following steps: providing a nano-patterned substrate, which has a plurality of convex portions and a plurality of first concave portions that are spaced apart from each other, wherein each first concave portion has a depth (d1); forming a plurality of protection structures to cover each convex portion, and exposing a bottom surface of each first concave portion; performing an anisotropic etching processing to etch the bottom surface of each first concave portion which is not covered by the protection structure so as to form a plurality of second concave portions having a depth (d2), and d2 is greater than d1.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: October 6, 2015
    Assignee: LEXTAR ELECTRONICS CORPORATION
    Inventors: Wei-Chang Yu, Chien-Cheng Chang, Chih-Sheng Hsu
  • Patent number: 8889433
    Abstract: Embodiments are directed to providing a spin hall effect (SHE) assisted spin transfer torque magnetic random access memory (STT-MRAM) device by coupling a magnetic tunnel junction (MTJ) to a SHE material, and coupling the SHE material to a transistor. Embodiments are directed to a spin transfer torque magnetic random access memory (STT-MRAM) device comprising: a magnetic tunnel junction (MTJ) coupled to a spin hall effect (SHE) material, and a transistor coupled to the SHE material.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: November 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: John K. De Brosse, Luqiao Liu, Daniel Worledge
  • Patent number: 8860099
    Abstract: A solid-state imaging device includes a first-conductivity-type semiconductor well region, a plurality of pixels each of which is formed on the semiconductor well region and is composed of a photoelectric conversion portion and a pixel transistor, an element isolation region provided between the pixels and in the pixels, and an element isolation region being free from an insulation film and being provided between desired pixel transistors.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: October 14, 2014
    Assignee: Sony Corporation
    Inventors: Keiji Tatani, Fumihiko Koga, Takashi Nagano
  • Patent number: 8551866
    Abstract: A method for the fabrication of a three-dimensional thin-film semiconductor substrate with selective through-holes is provided. A porous semiconductor layer is conformally formed on a semiconductor template comprising a plurality of three-dimensional inverted pyramidal surface features defined by top surface areas aligned along a (100) crystallographic orientation plane of the semiconductor template and a plurality of inverted pyramidal cavities defined by sidewalls aligned along the (111) crystallographic orientation plane of the semiconductor template. An epitaxial semiconductor layer is conformally formed on the porous semiconductor layer. The epitaxial semiconductor layer is released from the semiconductor template. Through-holes are selectively formed in the epitaxial semiconductor layer with openings between the front and back lateral surface planes of the epitaxial semiconductor layer to form a partially transparent three-dimensional thin-film semiconductor substrate.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: October 8, 2013
    Assignee: Solexel, Inc.
    Inventors: Mehrdad M. Moslehi, David Xuan-Qi Wang
  • Patent number: 8486840
    Abstract: A method includes making a target feature of an integrated circuit by providing a main layer over a substrate, depositing a first mask layer over the main layer, patterning the first mask layer, forming sidewall spacers with a width (w) in adjoining sidewalls of the patterned first mask layer and exposing a top area of the patterned first mask layer, selectively removing the first mask layer and exposing a portion of the main layer between the sidewall spacers, depositing a second mask layer over the main layer between the sidewall spacers, selectively removing the sidewall spacers to form an opening and exposing another portion of the main layer in the opening, etching the main layer through the opening to form the target feature.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: July 16, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jhon Jhy Liaw
  • Patent number: 8461005
    Abstract: A method of manufacturing doping patterns includes providing a substrate having a plurality of STIs defining and electrically isolating a plurality of active regions in the substrate, forming a patterned photoresist having a plurality of exposing regions for exposing the active regions and the STIs in between the active regions on the substrate, and performing an ion implantation to form a plurality of doping patterns in the active regions.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: June 11, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Huan-Ting Tseng, Chun-Hsien Huang, Hung-Chin Huang, Chen-Wei Lee
  • Patent number: 8354326
    Abstract: Structures and methods for precision trench formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a first oxygen-containing region in a semiconductor substrate by performing an oxygen ion implantation to a portion of the semiconductor substrate, and oxidizing the first oxygen-containing region using oxygen contained therein by performing a thermal processing to the semiconductor substrate, where the first oxygen-containing region is converted to a first oxide region. The method further comprises forming a groove in the semiconductor substrate by eliminating the first oxide region, where the performing thermal processing comprises subjecting the first oxygen-containing region to a gas low on oxygen.
    Type: Grant
    Filed: December 6, 2010
    Date of Patent: January 15, 2013
    Assignee: Spansion LLC
    Inventors: Fumihiko Inoue, Takayuki Maruyama, Tomohiro Watanabe
  • Patent number: 8263473
    Abstract: A semiconductor device includes an insulating layer and an undoped polysilicon layer that are stacked over a semiconductor substrate. The semiconductor substrate is exposed by removing the portions of the undoped polysilicon layer and the insulating layer. The trenches are formed by etching the exposed semiconductor substrate. Isolation layers are formed in the trenches, and a doped polysilicon layer is formed by implanting impurities into the undoped polysilicon layer.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: September 11, 2012
    Assignee: SK Hynix Inc.
    Inventor: Sang Soo Lee
  • Patent number: 8138060
    Abstract: A wafer has a rare earth oxide layer disposed, typically sprayed, on a substrate. It is useful as a dummy wafer in a plasma etching or deposition system.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: March 20, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Toshihiko Tsukatani, Takao Maeda, Junichi Nakayama, Hirofumi Kawazoe, Masaru Konya, Noriaki Hamaya, Hajime Nakano
  • Patent number: 7927969
    Abstract: A method and an equipment for cleaning masks used for photolithography steps, including at least one step of thermal treatment under pumping at a pressure lower than the atmospheric pressure and at a temperature greater than the ambient temperature.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: April 19, 2011
    Assignee: STMicroelectronics S.A.
    Inventor: Christophe Martin
  • Publication number: 20110081767
    Abstract: Structures and methods for precision trench formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a first oxygen-containing region in a semiconductor substrate by performing an oxygen ion implantation to a portion of the semiconductor substrate, and oxidizing the first oxygen-containing region using oxygen contained therein by performing a thermal processing to the semiconductor substrate, where the first oxygen-containing region is converted to a first oxide region. The method further comprises forming a groove in the semiconductor substrate by eliminating the first oxide region, where the performing thermal processing comprises subjecting the first oxygen-containing region to a gas low on oxygen.
    Type: Application
    Filed: December 6, 2010
    Publication date: April 7, 2011
    Inventors: Fumihiko INOUE, Takayuki MARUYAMA, Tomohiro WATANABE
  • Patent number: 7888234
    Abstract: A method for manufacturing a semiconductor body with a trench comprises the steps of etching the trench (11) in the semiconductor body (10) and forming a silicon oxide layer (12) on at least one side wall (14) of the trench (11) and on the bottom (15) of the trench (11) by means of thermal oxidation. Furthermore, the silicon oxide layer (12) on the bottom (15) of the trench (11) is removed and the trench (11) is filled with polysilicon that forms a polysilicon body (13).
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: February 15, 2011
    Assignee: austriamicrosystems AG
    Inventors: Martin Knaipp, Bernhard Löffler
  • Patent number: 7871896
    Abstract: Structures and methods for precision trench formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a first oxygen-containing region in a semiconductor substrate by performing an oxygen ion implantation to a portion of the semiconductor substrate, and oxidizing the first oxygen-containing region using oxygen contained therein by performing a thermal processing to the semiconductor substrate, where the first oxygen-containing region is converted to a first oxide region. The method further comprises forming a groove in the semiconductor substrate by eliminating the first oxide region, where the performing thermal processing comprises subjecting the first oxygen-containing region to a gas low on oxygen.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: January 18, 2011
    Assignee: Spansion, LLC
    Inventors: Fumihiko Inoue, Takayuki Maruyama, Tomohiro Watanabe
  • Patent number: 7816218
    Abstract: A microelectronic device includes a metal gate with a metal gate upper surface. The metal gate is disposed in an interlayer dielectric first layer. The interlayer dielectric first layer also has an upper surface that is coplanar with the metal gate upper surface. A dielectric etch stop layer is disposed on the metal gate upper surface but not on the interlayer dielectric first layer upper surface.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: October 19, 2010
    Assignee: Intel Corporation
    Inventors: Jason Klaus, Sean King, Willy Rachmady
  • Patent number: 7723206
    Abstract: A photodiode in which increased sensitivity and speed are balanced. The photodiode includes: a semiconductor substrate; a plurality of active regions formed on the substrate by selective epitaxial growth; and a comb electrode provided for each of the plurality of active regions and in communication with each other to electrically connect the active regions together.
    Type: Grant
    Filed: December 5, 2007
    Date of Patent: May 25, 2010
    Assignees: FUJIFILM Corporation, Massachusetts Institute of Technology
    Inventors: Yukiya Miyachi, Wojciech P. Giziewicz, Jurgen Michel, Lionel C. Kimerling
  • Patent number: 7648878
    Abstract: A pad oxide layer is formed on a substrate. A pad nitride layer is formed on the pad oxide layer. The pad nitride layer and the pad oxide layer are patterned. Predetermined portions of the substrate are etched using the pad nitride layer as an etch barrier to thereby form trenches used as device isolation regions. The trenches are filled with an insulation layer to thereby form device isolation regions. The pad nitride layer is removed. Recesses are formed by etching predetermined portions of the pad oxide layer and the substrate. The pad oxide layer is removed. A gate oxide layer is formed on the recesses and on the substrate. Gate structures of which bottom portions are buried in the recesses on the gate oxide layer are formed.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: January 19, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7632736
    Abstract: In general, in one aspect, a method includes forming a spacer layer over a substrate having patterned stacks formed therein and trenches between the patterned stacks. A sacrificial polysilicon layer is deposited over the substrate to fill the trenches. A patterning layer is deposited over the substrate and patterned to define contact regions over at least a portion of the trenches. The sacrificial polysilicon layer is etched using the patterned patterning layer to form open regions.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: December 15, 2009
    Assignee: Intel Corporation
    Inventors: Max Wei, Been-Jon Woo
  • Patent number: 7601610
    Abstract: A process for the realization of a high integration density power MOS device includes the following steps of: providing a doped semiconductor substrate with a first type of conductivity; forming, on the substrate, a semiconductor layer with lower conductivity; forming, on the semiconductor layer, a dielectric layer of thickness comprised between 3000 and 13000 A (Angstroms); depositing, on the dielectric layer, a hard mask layer; masking the hard mask layer by means of a masking layer; etching the hard mask layers and the underlying dielectric layer for defining a plurality of hard mask portions to protect said dielectric layer; removing the masking layer; isotropically and laterally etching said dielectric layer forming lateral cavities in said dielectric layer below said hard mask portions; forming a gate oxide of thickness comprised between 150 and 1500 A (Angstroms) depositing a conductor material in said cavities and above the same to form a recess spacer, which is totally aligned with a gate structure c
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: October 13, 2009
    Assignee: STMicroelectronics, S.r.L.
    Inventors: Giuseppe Arena, Giuseppe Ferla, Marco Camalleri
  • Patent number: 7510980
    Abstract: A method for manufacturing a semiconductor device of the present invention includes: forming a first film, a second film and a third film in sequence on a silicon substrate; patterning a resist film formed on the third film by conducting an exposure and developing process for the resist film employing an exposure mask including a phase shifter; selectively dry-etching the third film through a mask of the resist film employing the second film as an etch stop to process the third film into a first pattern; further dry-etching the third film employing the second film as an etch stop to partially remove the third film, thereby processing the third film into a second pattern; patterning the second film employing the third film having the second pattern as a mask; and patterning the first film employing the patterned second film as a mask.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 31, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Toshihisa Koretsune, Masato Fujita
  • Patent number: 7488671
    Abstract: A method of making a nanostructure array including disposing a masking material on a nanoporous template such that a first number of the plurality of nanopores are fully coated while a second number of the plurality of nanopores are not-fully coated by the masking material is provided. The method includes forming the nanostructures within the plurality of nanopores that are not-fully coated by the masking material. A nanostructure array fabricated in accordance to above said method and devices based on the nanostructure array is also provided.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: February 10, 2009
    Assignee: General Electric Company
    Inventors: Reed Roeder Corderman, Anthony Yu-Chung Ku
  • Publication number: 20080305614
    Abstract: Structures and methods for precision trench formation are disclosed. In one embodiment, a method for manufacturing a semiconductor device comprises forming a first oxygen-containing region in a semiconductor substrate by performing an oxygen ion implantation to a portion of the semiconductor substrate, and oxidizing the first oxygen-containing region using oxygen contained therein by performing a thermal processing to the semiconductor substrate, where the first oxygen-containing region is converted to a first oxide region. The method further comprises forming a groove in the semiconductor substrate by eliminating the first oxide region, where the performing thermal processing comprises subjecting the first oxygen-containing region to a gas low on oxygen.
    Type: Application
    Filed: June 5, 2008
    Publication date: December 11, 2008
    Inventors: Fumihiko INOUE, Takayuki MARUYAMA, Tomohiro WATANABE
  • Patent number: 7413963
    Abstract: A method of edge bevel rinse. First, a wafer having a coating material layer disposed thereon is provided. A light beam is optically projected on the wafer to form a reference pattern. The reference pattern defines a central region, and a bevel region surrounding the central region on the surface of the wafer. Subsequently, the coating material layer positioned in the bevel region is removed according to the reference pattern.
    Type: Grant
    Filed: April 12, 2006
    Date of Patent: August 19, 2008
    Assignee: Touch Micro-System Technology Inc.
    Inventors: Shih-Min Huang, Sh-Pei Yang
  • Patent number: 7402474
    Abstract: A method of manufacturing a semiconductor device comprises the following steps: a step of depositing a silicon oxide film on the top surface of an epitaxial layer of the region where a high withstand voltage MOS transistor is formed; a step of subsequently depositing a silicon oxide film on the top surface of the epitaxial layer according to the thickness of a gate oxide film of a low withstand voltage MOS transistor; and a step of subsequently adjusting the thickness of the silicon oxide film on the top surface of the high withstand voltage MOS transistor by etching and forming a P-type diffusion layer by ion-implantation method. This method can manufacture elements having gate oxide films different in thickness at low cost.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: July 22, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventor: Takashi Ogura
  • Patent number: 7279398
    Abstract: The present disclosure provides methods and apparatus useful in depositing materials on batches of microfeature workpieces. One implementation provides a method in which a quantity of a first precursor gas is introduced to an enclosure at a first enclosure pressure. The pressure within the enclosure is reduced to a second enclosure pressure while introducing a purge gas at a first flow rate. The second enclosure pressure may approach or be equal to a steady-state base pressure of the processing system at the first flow rate. After reducing the pressure, the purge gas flow may be increased to a second flow rate and the enclosure pressure may be increased to a third enclosure pressure. Thereafter, a flow of a second precursor gas may be introduced with a pressure within the enclosure at a fourth enclosure pressure; the third enclosure pressure is desirably within about 10 percent of the fourth enclosure pressure.
    Type: Grant
    Filed: January 6, 2006
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Trung T. Doan, Ronald A. Weimer, Kevin L. Beaman, Lyle D. Breiner, Lingyi A. Zheng, Er-Xuan Ping, Demetrius Sarigiannis, David J. Kubista
  • Patent number: 7259026
    Abstract: There is provided a method and apparatus for processing an organosiloxane film, which allow an inter-level insulating film with a low dielectric constant to be formed at a low heat process temperature. A semiconductor (10) with a coating film formed thereon is loaded into a reaction tube (2) of a heat-processing apparatus (1). Then, the interior of the reaction tube (2) is stabilized at a predetermined pressure, and hydrogen is supplied into an inner tube (3) through an acidic gas feed line (13), to heat the coating film under an acidic atmosphere. Then, the interior of the reaction tube (2) is heated up to a predetermined temperature, while heating the coating film under an acidic atmosphere. Then, gas inside the reaction tube (2) is exhausted, and ammonia is supplied into the inner tube (3) through an alkaline gas feed line (14), to heat the coating film under an alkaline atmosphere.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: August 21, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Shingo Hishiya
  • Patent number: 7235460
    Abstract: A process for forming isolation and active regions, wherein the patterning of an oxidation-barrier active stack is performed separately in the PMOS and NMOS regions. After the active stack is in place, two masking steps are used: one exposes the isolation areas on the NMOS side, for stack etch, channel-stop implant, and silicon recess etch (optional); the other masking step is exactly complementary, and performs the analogous operations on the PMOS side. After these two steps are performed (in either order), an additional nitride layer can optionally be deposited and etched to cover the sidewall of the active stack. Field oxide is then formed, and processing then proceeds in conventional fashion.
    Type: Grant
    Filed: March 9, 2001
    Date of Patent: June 26, 2007
    Assignee: STMicroelectronics, Inc.
    Inventor: Jia Li
  • Patent number: 7102184
    Abstract: The invention provides a photodiode with an increased charge collection area, laterally spaced from an adjacent isolation region. Dopant ions of a first conductivity type with a first impurity concentration form a region surrounding at least part of the isolation region. These dopant ions are further surrounded by dopant ions of the first conductivity type with a second impurity concentration. The resulting isolation region structure increases the capacitance of the photodiode by allowing the photodiode to possess a greater charge collection region while suppressing the generation of dark current.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes
  • Patent number: 7071115
    Abstract: In integrated circuit fabrication, an etch is used that has a lateral component. For example, the etch may be isotropic. Before the isotropic etch of a layer (160), another etch of the same layer is performed. This other etch can be anisotropic. This etch attacks a portion (160X2) of the layer adjacent to the feature to be formed by the isotropic etch. That portion is entirely or partially removed by the anisotropic etch. Then the isotropic etch mask (420) is formed to extend beyond the feature over the location of the portion subjected to the anisotropic etch. If that portion was removed entirely, then the isotropic etch mask may completely seal off the feature to be formed on the side of that portion, so the lateral etching will not occur. If that portion was removed only partially, then the lateral undercut will be impeded because the passage to the feature under the isotropic etch mask will be narrowed.
    Type: Grant
    Filed: February 4, 2004
    Date of Patent: July 4, 2006
    Assignee: ProMOS Technologies Inc.
    Inventors: Chunchieh Huang, Chia-Shun Hsiao, Jin-Ho Kim, Kuei-Chang Tsai, Barbara Haselden, Daniel C. Wang
  • Patent number: 7056806
    Abstract: The present disclosure provides methods and apparatus useful in depositing materials on batches of microfeature workpieces. One implementation provides a method in which a quantity of a first precursor gas is introduced to an enclosure at a first enclosure pressure. The pressure within the enclosure is reduced to a second enclosure pressure while introducing a purge gas at a first flow rate. The second enclosure pressure may approach or be equal to a steady-state base pressure of the processing system at the first flow rate. After reducing the pressure, the purge gas flow may be increased to a second flow rate and the enclosure pressure may be increased to a third enclosure pressure. Thereafter, a flow of a second precursor gas may be introduced with a pressure within the enclosure at a fourth enclosure pressure; the third enclosure pressure is desirably within about 10 percent of the fourth enclosure pressure.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: June 6, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Trung T. Doan, Ronald A. Weimer, Kevin L. Beaman, Lyle D. Breiner, Lingyi A. Zheng, Er-Xuan Ping, Demetrius Sarigiannis, David J. Kubista
  • Patent number: 7045437
    Abstract: A method of forming shallow trenches used, for example, in shallow trench isolation includes the steps of providing a p-type silicon substrate, forming a layer in the p-type silicon substrate, wherein the layer includes p-type silicon interposed between n-type silicon. The p-type silicon layer interposed between the n-type silicon is then subject to an anodization process to form porous silicon. The porous silicon regions are then oxidized. The porosity of the silicon layer may be controlled to create an isolation region that is either substantially flush with, above, or below an upper surface of the n-type top layer. For example, by adjusting the anodization time, a retrograde cross-sectional profile of the shallow trench can be obtained that leads to improved isolation between adjacent devices.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: May 16, 2006
    Assignee: The Regents of the University of California
    Inventor: Ya-Hong Xie
  • Patent number: 6943088
    Abstract: In a trench isolation structure of a semiconductor device, oxide liners are formed within the trenches, wherein a non-oxidizable mask is employed during various oxidation steps, thereby creating different types of liner oxides and thus different types of corner rounding and thus mechanical stress. Therefore, for a specified type of circuit elements, the characteristics of the corresponding isolation trenches may be tailored to achieve an optimum device performance.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: September 13, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf van Bentum, Stephan Kruegel, Gert Burbach
  • Patent number: 6773975
    Abstract: In one embodiment, a transistor is fabricated by forming gate materials, such as a gate oxide layer and a gate polysilicon layer, prior to forming a shallow trench isolation (STI) structure. Forming the gate materials early in the process minimizes exposure of the STI structure to processing steps that may expose its corners. Also, to minimize cross-diffusion of dopants and to help lower gate resistance, a metal stack comprising a barrier layer and a metal layer may be employed as a conductive line between gates. In one embodiment, the metal stack comprises a barrier layer of tungsten-nitride and a metal layer of tungsten.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: August 10, 2004
    Assignee: Cypress Semiconductor Corporation
    Inventors: Krishnaswamy Ramkumar, Sundar Narayanan, Shahin Sharifzadeh
  • Publication number: 20040126986
    Abstract: A method of forming deep isolation trenches in the fabrication of ICs is disclosed. The substrate is prepared with deep isolation trenches. The isolation trenches are partially filled with a first dielectric material. An etch mask layer is deposited on the substrate and used to remove excess first dielectric material on the surface of the substrate. The isolation trenches are then completely filled with a second dielectric material. Excess second dielectric material is then removed from the surface of the substrate.
    Type: Application
    Filed: December 30, 2002
    Publication date: July 1, 2004
    Inventors: Michael Wise, Andreas Knorr
  • Patent number: 6670236
    Abstract: To shorten the production process of the semiconductor device having the capacitance element. The pad oxide film (2) and the first polycrystalline silicon layer (3) are used as a stress buffering material at the time of formation of the element separation oxide film. These are not removed and used as the capacitance insulation film and a portion of the upper electrode of the capacitance element. Thereby, the removing process of the pad•polycrystalline silicon layer, and the dummy oxidation and its removing process in the conventional example, can be omitted and the process can be shortened. Further, a problem of the impurity enhanced oxidation at the time of formation of the capacitance insulation film can be solved.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: December 30, 2003
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Nobuyuki Sekikawa, Koichi Hirata, Wataru Andoh, Noriyasu Katagiri
  • Patent number: 6627516
    Abstract: A light receiving device includes a semiconductor substrate, a light absorbing layer provided on the semiconductor substrate, a window layer provided on the light absorbing layer, a wavelength filter provided on the window layer, and a diffusion region provided in the wavelength filter and the window layer. A forbidden bandwidth of the wavelength filter is smaller than a forbidden bandwidth of the window layer, and a forbidden bandwidth of the light absorbing layer is smaller than the forbidden bandwidth of the wavelength filter.
    Type: Grant
    Filed: April 12, 2002
    Date of Patent: September 30, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kenichi Matsuda
  • Patent number: 6566231
    Abstract: A first semiconductor layer is epitaxially grown on a semiconductor substrate and patterned to form concave and convex portions. A second semiconductor layer is formed on the first semiconductor layer using a top epitaxial mask covering the top surface of the convex portion. Lattice defects D propagating from the first semiconductor layer exist only in a region located above the center of the concave portion (a defect region Ra), while in the other region (a low defect region Rb) lattice defects D propagating from the first semiconductor layer hardly exist.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: May 20, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masahiro Ogawa, Kenji Orita, Masahiro Ishida, Shinji Nakamura, Osamu Imafuji, Masaaki Yuri
  • Patent number: 6548385
    Abstract: A method is described which may be used to reduce a pitch between conductive features. One embodiment of the method involves forming a structure including a substrate, a conductive layer on the substrate, multiple photoresist features arranged on the conductive layer, a polymer layer on top surfaces and sidewalls of each of the photoresist features, and a material layer on and around the photoresist features and the polymer layers. An upper portion of the material layer is removed such that upper surfaces of the photoresist features and the polymer layer are exposed, and a remaining portion of the material layer remains. The polymer layer is removed, and the photoresist features and the remaining portion of the material layer are used as etch masks to pattern the conductive layer, thereby producing a number of conductive features. The photoresist features and the remaining portion of the material layer are removed.
    Type: Grant
    Filed: June 12, 2002
    Date of Patent: April 15, 2003
    Inventor: Jiun-Ren Lai
  • Patent number: 6534388
    Abstract: A process used to retard out diffusion of P type dopants from P type LDD regions, resulting in unwanted LDD series resistance increases, has been developed. The process features the formation of a nitrogen containing layer, placed between the P type LDD region and overlying silicon oxide regions, retarding the diffusion of boron from the LDD regions to the overlying silicon oxide regions, during subsequent high temperature anneals. The nitrogen containing layer, such as a thin silicon nitride layer, or a silicon oxynitride layer, formed during or after reoxidation of a P type polysilicon gate structure, is also formed in a region that also retards the out diffusion of P type dopants from the P type polysilicon gate structure.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: March 18, 2003
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wenhe Lin, Zhong Dong, Simon Chooi, Kin Leong Pey
  • Publication number: 20020127863
    Abstract: A pad layer and a silicon nitride layer are respectively formed on a substrate. The multi-layer is then patterned to define active areas. Next, the substrate is etched to form a recessed portion. A sidewal barrier is formed on the sidewall of the recessed portion. A thermal oxidation process is performed using the silicon nitride layer and the sidewal barrier as a mask to form FOX for suppressing oxygen penetration into the substrate during the oxidation process. Therefore, the conventional bird's beak effect is reduced by the method of the present invention.
    Type: Application
    Filed: February 22, 2001
    Publication date: September 12, 2002
    Inventor: Ching Hung Chang
  • Patent number: 6444542
    Abstract: A via etch to contact a capacitor with ferroelectric between electrodes together with dielectric on an insulating diffusion barrier includes two-step etch with F-based dielectric etch and Cl- and F-based barrier etch.
    Type: Grant
    Filed: April 3, 2001
    Date of Patent: September 3, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Theodore S. Moise, Guoqiang Xing, Mark Visokay, Justin F. Gaynor, Stephen R. Gilbert, Francis Celii, Scott R. Summerfelt, Luigi Colombo
  • Patent number: 6423621
    Abstract: An ovonic phase-change semiconductor memory device having a reduced area of contact between electrodes of chalcogenide memories, and methods of forming the same. Such memory devices are formed by forming a tip protruding from a lower surface of a lower electrode element An insulative material is applied over the lower electrode such that an upper surface of the tip is exposed. A chalcogenide material and an upper electrode are either formed atop the tip, or the tip is etched into the insulative material and the chalcogenide material and upper electrode are deposited within the recess. This allows the memory cells to be made smaller and allows the overall power requirements for the memory cell to be minimized.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: July 23, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Trung T. Doan, D. Mark Durcan, Brent D. Gilgen
  • Patent number: 6417074
    Abstract: A fabrication method for providing isolation between adjacent regions of an integrated circuit includes providing a guard layer over field edges that are the interfaces between field oxide regions and diffusion regions in which dopant is introduced. The guard layer will inhibit introduction of dopant along the field-edge, so that a substantially dopant-free transition strip is formed. The transition strip inhibits current leakage from the active region to the field oxide region. In one embodiment, the active region is an active area diode, such as used to form an Active Pixel Sensor (APS) pixel. The guard layer is biased so as to further inhibit current leakage during circuit operation. In another embodiment, the method is used in the fabrication of transistors for APS pixels having an overlay photodiode structure.
    Type: Grant
    Filed: May 22, 2001
    Date of Patent: July 9, 2002
    Assignee: Agilent Technologies, Inc.
    Inventors: Thomas Edward Kopley, Dietrich W Vook, Thomas Dungan
  • Patent number: 6380610
    Abstract: A novel design of an oxidation mask for improved control of birds beak and more specifically for tailoring and smoothing the field oxide isolation profile in the vicinity of the birds beak. The mask design is particularly advantageous for narrow field isolation spacings found in sub half-micron integrated circuit technology. The mask uses a thin silicon nitride foot along its lower edge to allow nominal expansion of the oxide during the early stages of oxidation, thereby permitting in-situ stress relief as well as a smoothing of the oxide profile. A cantilevered portion of a second, thicker silicon nitride layer suppresses the upward movement of the flexible foot during the later stages of the oxidation when the growth rate has slowed, thereby inhibiting the growth of the birds beak. Shear stresses responsible for dislocation generation are reduced as much as fifty fold. This stress reduction is accompanied by an improvement in surface topography as well as suppression of the narrow oxide thinning effect.
    Type: Grant
    Filed: February 25, 1999
    Date of Patent: April 30, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Igor V. Peidous, Elgin Quek, Konstantin V. Loiko, David Yeo Yong Hock
  • Publication number: 20020048898
    Abstract: A process for forming isolation and active regions, wherein the patterning of an oxidation-barrier active stack is performed separately in the PMOS and NMOS regions. After the active stack is in place, two masking steps are used: one exposes the isolation areas on the NMOS side, for stack etch, channel-stop implant, and silicon recess etch (optional). The other masking step is exactly complementary, and performs the analogous operations on the PMOS side. After these two steps are performed (in either order), an additional nitride layer can optionally be deposited and etched to cover the sidewall of the active stack. Field oxide is then formed, and processing then proceeds in conventional fashion.
    Type: Application
    Filed: March 9, 2001
    Publication date: April 25, 2002
    Inventor: Jia Li
  • Patent number: 6362051
    Abstract: A gate structure for an ONO flash memory device includes a first layer of silicon oxide on top of a semiconductor substrate, a second layer of silicon oxide, a layer of silicon nitride sandwiched between the two silicon oxide layers, and a control gate on top of the second layer of silicon oxide. Nitrogen is implanted into the first layer of silicon oxide at less than normal energy levels to reduce the amount of damage to the underlying semiconductor substrate. After low energy nitrogen implantation, the semiconductor structure is heated to anneal out the implant damage and to diffuse the implanted nitrogen to the substrate and silicon oxide interface to cause SiN bonds to be formed at that interface. The SiN bonds is desirable because they improve the bonding strength at the interface and the nitrogen remaining in the silicon oxide layer increases the oxide bulk reliability.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: March 26, 2002
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Jean Yang, Yider Wu, Hidehiko Shiraiwa, Mark Ramsbey
  • Patent number: 6350663
    Abstract: A fabrication method for providing isolation between adjacent regions of an integrated circuit includes providing a guard layer over field edges that are the interfaces between field oxide regions and diffusion regions in which dopant is introduced. The guard layer will inhibit introduction of dopant along the field-edge, so that a substantially dopant-free transition strip is formed. The transition strip inhibits current leakage from the active region to the field oxide region. In one embodiment, the active region is an active area diode, such as used to form an Active Pixel Sensor (APS) pixel. The guard layer is biased so as to further inhibit current leakage during circuit operation. In another embodiment, the method is used in the fabrication of transistors for APS pixels having an overlay photodiode structure.
    Type: Grant
    Filed: March 3, 2000
    Date of Patent: February 26, 2002
    Assignee: Agilent Technologies, Inc.
    Inventors: Thomas Edward Kopley, Dietrich W Vook, Thomas Dungan
  • Patent number: 6323105
    Abstract: A method for fabrication a shallow trench isolation (STI) structure by combining uses of a STI process and a local oxidation (LOCAS) process is provided. The method includes forming a first liner oxide layer over a substrate, on which a patterned hard material layer is formed. A hard spacer is formed on each sidewall of the hard material layer. A LOCOS structure is formed on the substrate other than the hard spacer and the hard material layer. Then, the hard spacer is removed to expose a portion of the pad oxide on the substrate. A trench is formed in the substrate on each side of the LOCOS structure. A conformal second liner oxide layer is formed on the inner surface of the trench. The trench is filled with a polysilicon layer, having a surface higher than the substrate surface. A second thermal process is performed to oxidize the polysilicon layer so as to merge the LOCOS structure to cover the surface of the polysilicon layer.
    Type: Grant
    Filed: November 9, 1998
    Date of Patent: November 27, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Coming Chen, Tony Lin
  • Patent number: 6306726
    Abstract: In one aspect, the invention encompasses a LOCOS process. A pad oxide layer is provided over a silicon-comprising substrate. A silicon nitride layer is provided over the pad oxide layer and patterned with the pad oxide layer to form masking blocks. The patterning exposes portions of the silicon-comprising substrate between the masking blocks. The masking blocks comprise sidewalls. Polysilicon is formed along the sidewalls of the masking blocks. Subsequently, the silicon-comprising substrate and polysilicon are oxidized to form field oxide regions proximate the masking blocks. In another aspect, the invention encompasses a semiconductive material structure. Such structure includes a semiconductive material substrate and at least one composite block over the semiconductive material substrate. The composite block comprises a layer of silicon dioxide and a layer of silicon nitride over the layer of silicon dioxide. The silicon nitride and silicon dioxide have coextensive opposing sidewalls.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: October 23, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Siang Ping Kwok