Recessed Oxide By Localized Oxidation (i.e., Locos) Patents (Class 438/439)
  • Patent number: 11011636
    Abstract: A method for forming a FinFET device structure is provided. The method for forming a FinFET device structure includes forming a fin structure over a substrate, and forming a source/drain (S/D) structure over the fin structure. The method for forming a FinFET device structure also includes forming an inter-layer dielectric (ILD) structure covering the S/D structure, and forming a gate structure over the fin structure and adjacent to the S/D structure. The method for forming a FinFET device structure further includes forming a first hard mask layer over the gate structure, and forming a second hard mask layer over the first hard mask layer. In addition, the method for forming a FinFET device structure includes etching the ILD structure to form an opening exposing the S/D structure. The opening and a recess in the second hard mask layer are formed simultaneously.
    Type: Grant
    Filed: November 26, 2018
    Date of Patent: May 18, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Cheng-Han Wu, Yu-Ho Chiang, Jyh-Huei Chen, Jhon-Jhy Liaw
  • Patent number: 10658224
    Abstract: Integrated chips and methods of forming the same include oxidizing a portion of a semiconductor fin, which includes a channel layer and an intermediate semiconductor layer, to electrically isolate active regions of the semiconductor fin by forming an oxide that fully penetrates the channel layer and the intermediate semiconductor layer. A semiconductor device is formed on each of the active regions.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: May 19, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huimei Zhou, Gen Tsutsui, Veeraraghavan S. Basker, Andrew M. Greene, Dechao Guo, Huiming Bu, Reinaldo Vega
  • Patent number: 10438791
    Abstract: A film forming process of forming a silicon nitride film by depositing a molecular layer of silicon nitride on a surface of a substrate, in which an uneven pattern is formed and a base made of metal reacting with halogen is exposed, includes alternately performing adsorbing silicon halide to the surface of the substrate and nitriding the silicon halide, wherein the film forming process is performed under a condition in which the substrate is heated at a film-forming temperature, the film-forming temperature falling within a range of equal to or higher than a minimum film-forming temperature at which the molecular layer of the silicon nitride is formed by reaction of the silicon halide and a plasmarized nitriding gas and less than a maximum film-forming temperature at which the reaction of the base made of metal and the silicon halide goes ahead.
    Type: Grant
    Filed: June 11, 2018
    Date of Patent: October 8, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hideomi Hane, Kentaro Oshimo, Shimon Otsuki, Jun Ogawa, Noriaki Fukiage, Hiroaki Ikegawa, Yasuo Kobayashi, Takeshi Oyama
  • Patent number: 9136178
    Abstract: Systems and methods of fabricating a FinFET in large scale integrated circuit are disclosed. One illustrative method relates to a dummy gate process, wherein the fin structure is only formed in the gate electrode region by performing a photolithography process and an etching of a first dummy gate on a flat STI surface using chemical mechanical polishing, forming drain and source regions, depositing a medium dielectric layer, polishing the medium dielectric layer till the top of the first dummy gate is exposed through the chemical mechanical polishing process again, removing the dummy gate material via a dry etching and a wet etching, and continuously etching the STI dielectric layer with the hard mask formed by the medium dielectric layer, thereafter performing the deposition of real gate dielectric and gate electrode material to complete the device structure.
    Type: Grant
    Filed: May 2, 2012
    Date of Patent: September 15, 2015
    Assignee: Peking University
    Inventors: Ming Li, Ru Huang
  • Publication number: 20150102411
    Abstract: A fin structure suitable for a FinFET and having a buried insulator layer is disclosed. In an exemplary embodiment, a semiconductor device comprises a substrate with a first semiconductor material and having a fin structure formed thereupon. The fin structure includes a lower region proximate to the substrate, a second semiconductor material disposed on the lower region, a third semiconductor material disposed on the second semiconductor material, and an insulating material selectively disposed on the second semiconductor material such that the insulating material electrically isolates a channel region of the fin structure and further such that the insulating material exerts a strain on the channel region. The semiconductor device further comprises an isolation feature disposed adjacent to the fin structure.
    Type: Application
    Filed: October 16, 2013
    Publication date: April 16, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Cheng Ching, Guan-Lin Chen, Chao-Hsiung Wang, Chi-Wen Liu
  • Patent number: 8975107
    Abstract: In one embodiment, a method of manufacturing a semiconductor device includes oxidizing a substrate to form local oxide regions that extend above a top surface of the substrate. A membrane layer is formed over the local oxide regions and the top surface of the substrate. A portion of the substrate under the membrane layer is removed. The local oxide regions under the membrane layer is removed.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: March 10, 2015
    Assignee: Infineon Techologies AG
    Inventors: Alfons Dehe, Stefan Barzen, Wolfgang Friza, Wolfgang Klein
  • Patent number: 8975196
    Abstract: According to one embodiment, a method of manufacturing a semiconductor device includes providing a substrate, supplying a first liquid including a terpene to a surface of the substrate, supplying a second liquid including a silicon-containing compound to the surface of the substrate, and converting the silicon-containing compound to a silicon oxide compound.
    Type: Grant
    Filed: September 2, 2013
    Date of Patent: March 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keisuke Nakazawa
  • Patent number: 8936996
    Abstract: A semiconductor structure is provided that includes a semiconductor oxide layer having features. The semiconductor oxide layer having the features is located between an active semiconductor layer and a handle substrate. The semiconductor structure includes a planarized top surface of the active semiconductor layer such that the semiconductor oxide layer is beneath the planarized top surface. The features within the semiconductor oxide layer are mated with a surface of the active semiconductor layer.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: January 20, 2015
    Assignee: International Business Machines Corporation
    Inventors: Ravi M. Todi, Joseph Ervin, Chengwen Pei, Geng Wang
  • Patent number: 8871643
    Abstract: A manufacturing method for manufacturing a lateral semiconductor device having an SOI (Silicon on Insulator) substrate, the lateral semiconductor device comprising a semiconductor layer that includes a buried oxide layer and a drift region, the manufacturing method comprising an etching process of etching, by a predetermined depth, a LOCOS oxide that projects from a surface of the semiconductor layer by a predetermined thickness and is embedded in the semiconductor layer by a predetermined thickness, and a trench forming process of simultaneously forming a first trench extending from the drift region toward the buried oxide layer, and a second trench extending from a portion obtained by the etching in the etching process toward the buried oxide layer, at a same etching rate, and stopping forming the first trench and the second trench at a time when the second trench reaches the buried oxide layer.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: October 28, 2014
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Hiroomi Eguchi, Takashi Okawa, Atsushi Onogi
  • Patent number: 8853053
    Abstract: A capacitive element, includes: an active region parted by an element isolation region formed in a semiconductor substrate; a first electrode formed of a diffusion layer in the active region; an insulating layer formed on the first electrode; and a second electrode formed on a planar surface of the first electrode via the insulating layer, wherein the second electrode is formed within the active region and within the first electrode in a planar layout.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: October 7, 2014
    Assignee: Sony Corporation
    Inventor: Yoshiki Ebiko
  • Patent number: 8815694
    Abstract: Embodiments include semiconductor-on-insulator (SOI) substrates having SOI layers strained by oxidation of the base substrate layer and methods of forming the same. The method may include forming a strained channel region in a semiconductor-on-insulator (SOI) substrate including a buried insulator (BOX) layer above a base substrate layer and a SOI layer above the BOX layer by first etching the SOI layer and the BOX layer to form a first isolation recess region and a second isolation recess region. A portion of the SOI layer between the first isolation recess region and the second isolation recess region defines a channel region in the SOI layer. A portion of the base substrate layer below the first isolation recess region and below the second isolation recess region may then be oxidized to form a first oxide region and a second oxide region, respectively, that apply compressive strain to the channel region.
    Type: Grant
    Filed: December 3, 2012
    Date of Patent: August 26, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Balasubramanian S. Haran, Ali Khakifirooz, Pranita Kerber
  • Patent number: 8790982
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then reoxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: July 29, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Patent number: 8754446
    Abstract: The invention is directed to a structure and method of forming a structure having a sealed gate oxide layer. The structure includes a gate oxide layer formed on a substrate and a gate formed on the gate oxide layer. The structure further includes a material abutting walls of the gate and formed within an undercut underneath the gate to protect regions of the gate oxide layer exposed by the undercut. Source and drain regions are isolated from the gate by the material.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Xiaomeng Chen, Shwu-Jen Jeng, Byeong Y. Kim, Hasan M. Nayfeh
  • Publication number: 20140131710
    Abstract: Electro-Static Discharge (ESD) protection using at least one ring-shape diode is disclosed. The ring-shape diode can be constructed from polysilicon, active region body on insulated substrate, or junction diode on silicon substrate. The diodes can have a first type of implant in an outer ring and a second type of implant in an inner ring to serve as two terminals of a diode coupled through contacts, vias, or metals. The two types of implant ring regions are separated with an isolation structure. The isolation can be LOCOS, STI, dummy gate, or silicide block layer (SBL). The ESD structure has at least a ring-shape diode with a first terminal coupled to an I/O pad and the second terminal coupled to a first supply voltage. The contours of the ring-shape diode can be circles, polygons, or other shapes. The ring-shape ESD structures can be multiple and be constructed in concentric manner.
    Type: Application
    Filed: November 15, 2012
    Publication date: May 15, 2014
    Inventor: Shine C. Chung
  • Patent number: 8722512
    Abstract: The invention enhances the accuracy of an end point detection when an insulation film formed on a semiconductor substrate is dry-etched. Gate layers made of polysilicon are formed, and an end point detection dummy layer made of polysilicon is formed on a LOCOS. After the gate layers and the dummy layer are formed, a TEOS film is formed on a silicon substrate so as to cover the gate layers and the dummy layer. The TEOS film, a thin gate oxide film and a thick gate oxide film are then dry-etched to form sidewalls on the sidewalls of the gate layers and also expose the front surface of the P well of the silicon substrate in a region surrounded by the LOCOS. The end point detection dummy layer helps the end point detection by being exposed during this dry-etching to enhance the accuracy of the end point detection.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: May 13, 2014
    Assignees: SANYO Semiconductor Co., Ltd., Semiconductor Components Industries, LLC
    Inventors: Nobuji Kobayashi, Tetsuya Yamada
  • Publication number: 20140120694
    Abstract: Semiconductor devices and methods for making such devices are described. The semiconductor devices are made by providing a semiconductor substrate with an active region, providing a bulk oxide layer in a non-active portion of the substrate, the bulk oxide layer having a first thickness in a protected area of the device, providing a plate oxide layer over the bulk oxide layer and over the substrate in the active region, forming a gate structure on the active region of the substrate, and forming a self-aligned silicide layer on a portion of the substrate and the gate structure, wherein the final thickness of the bulk oxide layer in the protected area after these processes remains substantially the same as the first thickness. The thickness of the bulk oxide layer can be increased without any additional processing steps or any additional processing cost. Other embodiments are described.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Applicant: FAIRCHILD SEMICONDUCTOR CORPORATION
    Inventors: Sunglyong Kim, Steven Leibiger, Christopher Nassar
  • Patent number: 8691657
    Abstract: Corona effect in a monolithic microwave integrated circuit (MMIC) is prevented by disposing a bottom metal layer on a substrate, defining a conductive via through the substrate electrically contacting the bottom metal layer, the conductive via further connected to a reference electrical potential, disposing a layer of dielectric material on a region of the bottom metal layer, forming a component metal layer over the conductive via and in electrical communication with the via and the bottom metal layer to define an electrical component, forming a top metal layer on the layer of dielectric material, the layer of dielectric layer interposed between the top metal layer and the bottom metal layer to thereby define an MMIC capacitor on the substrate, the top metal layer of the MMIC capacitor being separated from the electrical component, and disposing a passivation layer adjacent and conformal to a side wall of the top metal layer.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: April 8, 2014
    Assignee: Lockheed Martin Corporation
    Inventor: Kevin L. Robinson
  • Patent number: 8662886
    Abstract: The present invention relates generally to semiconductor wafer fabrication and more particularly but not exclusively to advanced process control methodologies for controlling oxide formation using pressure. The present invention, in one or more implementations, includes a pressure stabilization system to dynamically adjust scavenger pressure in a furnace during wafer fabrication in relation to a pressure formation range, value, or one or more pressure indicators in a wafer fabrication process.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: March 4, 2014
    Assignee: Micrel, Inc.
    Inventor: Miles Dudman
  • Publication number: 20130334648
    Abstract: High voltage diodes are disclosed. A semiconductor device is provided having a P well region; an N well region adjacent to the P well region and forming a p-n junction with the P well region; a P+ region forming an anode at the upper surface of the semiconductor substrate in the P well region; an N+ region forming a cathode at the upper surface of the semiconductor substrate in the N well region; and an isolation structure formed over the upper surface of the semiconductor substrate between the anode and the cathode and electrically isolating the anode and cathode including a first dielectric layer overlying a portion of the upper surface of the semiconductor substrate, and a second dielectric layer overlying a portion of the first dielectric layer and a portion of the upper surface of the semiconductor substrate. Methods for forming the devices are disclosed.
    Type: Application
    Filed: June 15, 2012
    Publication date: December 19, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wan-Yen Lin, Yi-Feng Chang, Jam-Wem Lee
  • Publication number: 20130240968
    Abstract: A semiconductor device includes a multilayered interelectrode insulating film formed between a charge storage layer and a control electrode layer. The interelectrode insulating film is formed in a first region above an upper surface portion of the element isolation insulating film, a second region along a sidewall portion of the charge storage layer, and a third region above an upper surface portion of the charge storage layer. The interelectrode insulating film includes a stack of first silicon oxide film, a silicon nitride film, and a second silicon oxide film. The silicon nitride film is relatively thicker in the third region compared to the first region and compared to at least a portion of the second region.
    Type: Application
    Filed: September 14, 2012
    Publication date: September 19, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Katsuyuki SEKINE
  • Patent number: 8536676
    Abstract: The present invention is drawn to an MMIC capacitor comprising a dielectric material interposed between a metal top plate and a metal bottom plate; and a passivation layer having the composition of the dielectric material and applied to the capacitor components such that thickness of the layer eliminates a corona effect. The invention also includes a method for passivating a layer of SiN material onto a top plate having a thickness sufficient to reduce a corona effect dependent on an applied voltage.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: September 17, 2013
    Assignee: Lockheed Martin Corporation
    Inventor: Kevin L. Robinson
  • Patent number: 8471346
    Abstract: A semiconductor device includes a substrate including a cavity and a first material layer over at least a portion of sidewalls of the cavity. The semiconductor device includes an oxide layer over the substrate and at least a portion of the sidewalls of the cavity such that the oxide layer lifts off a top portion of the first material layer toward a center of the cavity.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: June 25, 2013
    Assignee: Infineon Technologies AG
    Inventors: Thoralf Kautzsch, Markus Rochel
  • Patent number: 8460992
    Abstract: A method of manufacturing a semiconductor device comprises forming a first insulator in the first area of a substrate and a second insulator formed in a second area of the substrate; forming an etching preventing film extending over the first device region surrounded by the first area and the second device region surrounded by the second area removing the etching preventing film from the first device region and first area forming a first gate insulating film over the first device region while the second device region and the second area are covered by the etching preventing film; removing the etching preventing film over the second device region and the second area forming a second gate insulating film over the second device region; and forming a first gate electrode on the first gate insulating film and forming a second gate electrode on the second gate insulating film.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: June 11, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Toru Anezaki
  • Publication number: 20130113104
    Abstract: A structure for picking up a buried layer is disclosed. The buried layer is formed in a substrate and has an epitaxial layer formed thereon. One or more isolation regions are formed in the epitaxial layer. The structure for picking up the buried layer includes a contact-hole electrode formed in each of the isolation regions. A bottom of the contact-hole electrode is in contact with the buried layer. As the structure of the present invention is formed in the isolation region without occupying any portion of the active region, its size is much smaller than that of a sinker region of the prior art. Therefore, device area is tremendously reduced. Moreover, as the contact-hole electrode picks up the buried layer by a metal contact, the series resistance of the device can be greatly reduced. A method of forming the above structure is also disclosed.
    Type: Application
    Filed: November 7, 2012
    Publication date: May 9, 2013
    Applicant: SHANGHAI HUA HONG NEC ELECTRONICS CO., LTD.
    Inventor: Shanghai Hua Hong Nec Electronics Co., Ltd.
  • Patent number: 8432000
    Abstract: High Efficiency Diode (HED) rectifiers with improved performance including reduced reverse leakage current, reliable solderability properties, and higher manufacturing yields are fabricated by minimizing topography variation at various stages of fabrication. Variations in the topography are minimized by using a CMP process to planarize the HED rectifier after the field oxide, polysilicon and/or solderable top metal are formed.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: April 30, 2013
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Thomas E. Grebs
  • Patent number: 8426282
    Abstract: The present invention provides a method for forming a semiconductor substrate isolation, comprising: providing a semiconductor substrate; forming a first oxide layer and a nitride layer sequentially on the semiconductor substrate; forming openings in the nitride layer and in the first oxide layer to expose parts of the semiconductor substrate; implanting oxygen ions into the semiconductor substrate from the openings; performing annealing to form a second oxide layer on at least top portions of the exposed parts of the semiconductor substrate; and removing the nitride layer and the first oxide layer. Compared to the conventional STI process, said method enables a more simply and easy process flow and is applicable to common semiconductor substrates and SOI substrates.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: April 23, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Haizhou Yin, Zhijiong Luo, Huilong Zhu
  • Patent number: 8361876
    Abstract: A manufacturing method of a semiconductor device includes the steps of: forming first and second alignment marks by forming first and second alignment mark grooves on a first surface of a semiconductor substrate and filling the grooves with a material different from the semiconductor substrate; forming a first element on the first surface in alignment using the first alignment mark; bonding a support substrate to the first surface; reversing a bonded structure of the support substrate and the semiconductor substrate around a predetermined axis and thinning the semiconductor substrate from a second surface side of the semiconductor substrate at least until a thickness with which a position of the second alignment mark is detected by reflected light obtained by application of alignment light from the second surface side of the semiconductor substrate is obtained; and forming a second element on the second surface in alignment using the second alignment mark.
    Type: Grant
    Filed: February 10, 2010
    Date of Patent: January 29, 2013
    Assignee: Sony Corporation
    Inventors: Toshiyuki Ishimaru, Kenji Takeo, Ryo Takahashi
  • Patent number: 8319290
    Abstract: High Efficiency Diode (HED) rectifiers with improved performance including reduced reverse leakage current, reliable solderability properties, and higher manufacturing yields are fabricated by minimizing topography variation at various stages of fabrication. Variations in the topography are minimized by using a CMP process to planarize the HED rectifier after the field oxide, polysilicon and/or solderable top metal are formed.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: November 27, 2012
    Assignee: Fairchild Semiconductor Corporation
    Inventor: Thomas E. Grebs
  • Patent number: 8298011
    Abstract: A method for making a semiconductor device including: element isolation regions formed in a state of being buried in a semiconductor substrate such that an element formation region of the semiconductor substrate is interposed between the element isolation regions; a gate electrode formed on the element formation region with an gate insulating film interposed between the gate electrode and the element formation region, the gate electrode being formed so as to cross the element formation region; and source-drain regions formed in the element formation region on both sides of the gate electrode, wherein a channel region made of the element formation region under the gate electrode is formed so as to project from the element isolation regions, and the source-drain regions are formed to a position deeper than surfaces of the element isolation regions.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: October 30, 2012
    Assignee: Sony Corporation
    Inventor: Yasushi Tateshita
  • Patent number: 8294238
    Abstract: A peripheral circuit area is formed around a memory cell array area. The peripheral circuit area has element regions, an element isolation region isolating the element regions, and field-effect transistor formed in each of the element regions and including a gate electrode extending in a channel width direction, on a semiconductor substrate. An end portion and a corner portion of the gate electrode are on the element isolation region. A radius of curvature of the corner portion of the gate electrode is smaller than a length from the end portion of the element region in the channel width direction to the end portion of the gate electrode in the channel width direction, and is less than 85 nm.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: October 23, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki Kutsukake, Takayuki Toba, Yoshiko Kato, Kenji Gomikawa, Haruhiko Koyama
  • Patent number: 8260098
    Abstract: An optocoupler device facilitates on-chip galvanic isolation. In accordance with various example embodiments, an optocoupler circuit includes a silicon-on-insulator substrate having a silicon layer on a buried insulator layer, a silicon-based light-emitting diode (LED) having a silicon p-n junction in the silicon layer, and a silicon-based photodetector in the silicon layer. The LED and photodetector are respectively connected to galvanically isolated circuits in the silicon layer. A local oxidation of silicon (LOCOS) isolation material and the buried insulator layer galvanically isolate the first circuit from the second circuit to prevent charge carriers from moving between the first and second circuits. The LED and photodetector communicate optically to pass signals between the galvanically isolated circuits.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: September 4, 2012
    Assignee: NXP B.V.
    Inventors: Dusan Golubovic, Gerhard Koops, Tony Vanhoucke, Rob Van Dalen
  • Publication number: 20120190170
    Abstract: A method for dissolving the buried oxide layer of a SeOI wafer in order to decrease its thickness. The SeOI wafer includes a thin working layer made from one or more semiconductor material(s); a support layer, and a buried oxide (BOX) layer between the working layer and the support layer. The dissolution rate of the buried oxide layer is controlled and set to be below 0.06 ?/sec.
    Type: Application
    Filed: March 1, 2012
    Publication date: July 26, 2012
    Applicant: S.O.I.TEC SILICON ON INSULATOR TECHNOLOGIES
    Inventor: Oleg Kononchuk
  • Patent number: 8178418
    Abstract: A method for fabricating intra-device isolation structure is provided, including providing a semiconductor substrate with a mask layer formed thereover. A plurality of first trenches is formed in the semiconductor substrate and the mask layer. A first insulating layer is formed in the first trenches. The mask layer is partially removed to expose a portion of the first insulating layer in the first trenches. A protection spacer is formed on a sidewall surface of the portion of the first insulating layer exposed by the mask layer to partially expose a portion of the mask layer between the first insulating layer. An etching process is performed to the mask layer exposed by the protection spacer and the semiconductor substrate thereunder, and a plurality of second trenches is formed in the semiconductor substrate and the mask layer. A second insulating layer is formed in the second trenches.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: May 15, 2012
    Assignee: Nanya Technology Corporation
    Inventors: Jar-Ming Ho, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20110291204
    Abstract: A semiconductor device has: a silicon substrate; trench formed downward from the surface of the silicon substrate, the trench defining active regions on the surface of the silicon substrate; a first liner layer of a silicon nitride film covering an inner wall of the trench; a second liner layer of a silicon nitride layer formed on the first liner layer; an element isolation region of an insulator formed on the second liner layer; a p-channel MOS transistor formed in and on one of the active regions; a contact etch stopper layer of a silicon nitride layer not having a ultraviolet shielding ability, formed above the silicon substrate, and covering the p-channel MOS transistor; and a light shielding film of a silicon nitride layer having the ultraviolet shielding ability and formed above the contact etch stopper layer.
    Type: Application
    Filed: August 15, 2011
    Publication date: December 1, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Yoshiyuki Ookura
  • Patent number: 8030171
    Abstract: An element isolation film is formed by filling an oxide in a trench formed in an element isolation region of a semiconductor substrate to thereby form an insulation film for element isolation. A method of forming the element isolation film includes a first step of depositing a material in a plasma state including oxygen and silicon on an inner surface of the trench while applying no bias voltage (or a relatively low voltage), and a second step of filling the material in a plasma state including oxygen and silicon in the trench while applying a bias voltage (or a relatively high voltage).
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: October 4, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Masaru Seto
  • Publication number: 20110237048
    Abstract: The present application discloses a method for manufacturing a full silicidation metal gate, comprises the steps of forming locally oxidized isolation or shallow trench isolation, performing prior-implantation oxidation and then doping 14N+; removing the prior-implantation oxidation layer formed before ion implantation, performing gate oxidation, and depositing a polysilicon layer; performing lithography and etching to form a gate electrode of polysilicon; implanting and activating dopants; depositing metal such as Ni; performing a first annealing so that Ni reacts with a portion of polysilicon; selectively removing unreacted Ni; performing a second annealing so that the whole gate electrode is converted into nickel silicide to form a full silicidation metal gate electrode. The present invention provides a full silicidation metal gate electrode which overcomes the disadvantages of polysilicon gate electrode.
    Type: Application
    Filed: June 28, 2010
    Publication date: September 29, 2011
    Inventors: Huajie Zhou, Qiuxia Xu
  • Publication number: 20110233622
    Abstract: According to one embodiment, a semiconductor device comprises an active area extending in a first direction, a contact plug located on a first portion of the active area, and a transistor located on a second portion adjacent to the first portion of the active area in the first direction. A width of a top surface area of the first portion in a second direction perpendicular to the first direction is smaller than that of a top surface area of the second portion in the second direction.
    Type: Application
    Filed: September 17, 2010
    Publication date: September 29, 2011
    Inventors: Aya Minemura, Kenji Sawamura, Mitsuhiro Noguchi
  • Patent number: 7998806
    Abstract: A method of manufacturing a semiconductor device includes forming an oxidation film over a first and a second device region, forming an first etching preventing film extending over a first and a second area, removing the first etching preventing film over the first area; removing the oxidation film over the first device region, forming a first gate insulating film over the first device region, removing the oxidation film over the second device region, forming a second gate insulating film over the second device region, forming a first gate electrode over the first gate insulating film, forming a second gate electrode over the second gate insulating film, forming first source and drain regions in the first device region at both sides of the first gate electrode, and forming second source and drain regions in the second device region at both sides of the second gate electrode.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: August 16, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Toru Anezaki
  • Patent number: 7994006
    Abstract: A semiconductor device including a drift layer of a first conductivity type formed on a surface of a semiconductor substrate. A surface of the drift layer has a second area positioned on an outer periphery of a first area. A cell portion formed in the first area includes a first base layer of a second conductivity type, a source layer and a control electrode formed in the first base layer and the source layer. The device also includes a terminating portion formed in the drift layer including a second base layer of a second conductivity type, an impurity diffused layer of a second conductivity type, and a metallic compound whose end surface on the terminating portion side is positioned on the cell portion side away from the end surface of the impurity diffused layer on the terminal portion side.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: August 9, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Satoshi Aida, Shigeo Kouzuki, Masaru Izumisawa, Hironori Yoshioka
  • Patent number: 7968421
    Abstract: Manufacturing a semiconductor device includes defining bulb-type trenches having spherical portions in a silicon substrate. Oxide layers are formed in surfaces of spherical portions of the bulb-type trenches by conducting an oxidation process for the silicon substrate having the bulb-type trenches defined therein. An insulation layer is formed on the entire surface of the silicon substrate including the surfaces of the bulb-type trenches, which have the oxide layers formed in the surfaces of the spherical portions thereof. Isolation trenches are defined by etching the insulation layer, whereby SOI structures having the oxide layers interposed between portions of the silicon substrate are formed.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: June 28, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Jung Shin
  • Patent number: 7960244
    Abstract: A process for manufacturing an electronic semiconductor device, wherein a SOI wafer is provided, formed by a bottom layer of semiconductor material, an insulating layer, and a top layer of semiconductor material, stacked on top of one another; alignment marks are formed in the top layer; an implanted buried region is formed, aligned to the alignment marks; a hard mask is formed on top of the top layer so as to align it to the alignment marks; using the hard mask, the top layer is selectively removed so as to form a trench extending up to the insulating layer; there a lateral-insulation region in the trench, that is contiguous to the insulating layer and delimits with the latter an insulated well of semiconductor material; and electronic components are formed in the top layer.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: June 14, 2011
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Salvatore Leonardi, Roberto Modica
  • Patent number: 7957330
    Abstract: The invention is directed to techniques for failsafe management of periodic communications between network devices. A first network device, for example, establishes with a second network device a first response interval by which the first device responds to a message received from the second device. Prior to commencing a software upgrade, the first device determines whether the event requires an interval of time during which the first device cannot respond to the message within the established first response interval. Based on the determination and prior to commencing the upgrade, the first device establishes with the second device a second response interval that equals or exceeds the first response interval. Upon completion of the event, the first device establishes with the second device a third response interval. The first network device therefore may automatically adjust response intervals to accommodate upgrades that may cause unnecessary thrashing.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: June 7, 2011
    Assignee: Juniper Networks, Inc.
    Inventors: Nitin Bahadur, David M. Katz, Nischal Sheth, Rahul Aggarwal
  • Patent number: 7951679
    Abstract: First, on a semiconductor region of a first conductivity type, a trapping film is formed which stores information by accumulating charges. Then, the trapping film is formed with a plurality of openings, and impurity ions of a second conductivity type are implanted into the semiconductor region from the formed openings, thereby forming a plurality of diffused layers of the second conductivity type in portions of the semiconductor region located below the openings, respectively. An insulating film is formed to cover edges of the trapping film located toward the openings, and then the semiconductor region is subjected to a thermal process in an atmosphere containing oxygen to oxidize upper portions of the diffused layers. Thereby, insulating oxide films are formed in the upper portions of the diffused layers, respectively. Subsequently, a conductive film is formed over the trapping film including the edges thereof to form an electrode.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: May 31, 2011
    Assignee: Panasonic Corporation
    Inventors: Koji Yoshida, Keita Takahashi, Fumihiko Noro, Masatoshi Arai, Nobuyoshi Takahashi
  • Patent number: 7947561
    Abstract: Methods of fabricating an oxide layer on a semiconductor substrate are provided herein. The oxide layer may be formed over an entire structure disposed on the substrate, or selectively formed on a non-metal containing layer with little or no oxidation of an exposed metal-containing layer. The methods disclosed herein may be performed in a variety of process chambers, including but not limited to decoupled plasma oxidation chambers, rapid and/or remote plasma oxidation chambers, and/or plasma immersion ion implantation chambers. In some embodiments, a method may include providing a substrate comprising a metal-containing layer and non-metal containing layer; and forming an oxide layer on an exposed surface of the non-metal containing layer by exposing the substrate to a plasma formed from a process gas comprising a hydrogen-containing gas, an oxygen-containing gas, and at least one of a supplemental oxygen-containing gas or a nitrogen-containing gas.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: May 24, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Rajesh Mani, Norman Tam, Timothy W. Weidman, Yoshitaka Yokota
  • Patent number: 7939423
    Abstract: A non-volatile semiconductor manufacturing method comprises the steps of making element isolation/insulation films that partitions element-forming regions in a semiconductor substrate; stacking a floating gate on the semiconductor substrate via a first gate insulating film; stacking a second gate insulating film formed on the floating gate, and stacking a control gate formed on the floating gate via the second gate insulating film, and self-aligning source and drain diffusion area with the control gate. In the process of stacking a floating gate by partially etching a field oxide film in a select gate area, followed by floating gate formed in a element-forming region and select gate region, and followed by a chemical mechanical polish(CMP) process, both floating gate and select gate is hereby formed simultaneously. Thereby, when memory cells are miniaturized, the invention allows the process to be simple and reduce the defect density.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: May 10, 2011
    Assignee: Eon Silicon Solution Inc.
    Inventor: Yider Wu
  • Publication number: 20110065256
    Abstract: An efficient method is disclosed for increasing the breakdown voltage of an integrated circuit device that is isolated by a local oxidation of silicon (LOCOS) process. The method comprises forming a portion of a field oxide in an integrated circuit so that the field oxide has a gradual profile. The gradual profile of the field oxide reduces impact ionization in the field oxide by creating a reduced value of electric field for a given value of applied voltage. The reduction in impact ionization increases the breakdown voltage of the integrated circuit. The gradual profile is formed by using an increased thickness of pad oxide and a reduced thickness of silicon nitride during a field oxide oxidation process.
    Type: Application
    Filed: November 29, 2010
    Publication date: March 17, 2011
    Applicant: National Semiconductor Corporation
    Inventors: Richard W. Foote, JR., Terry Lee Lines, Alexei Sadovnikov, Andy Strachan
  • Patent number: 7867871
    Abstract: An efficient method is disclosed for increasing the breakdown voltage of an integrated circuit device that is isolated by a local oxidation of silicon (LOCOS) process. The method comprises forming a portion of a field oxide in an integrated circuit so that the field oxide has a gradual profile. The gradual profile of the field oxide reduces impact ionization in the field oxide by creating a reduced value of electric field for a given value of applied voltage. The reduction in impact ionization increases the breakdown voltage of the integrated circuit. The gradual profile is formed by using an increased thickness of pad oxide and a reduced thickness of silicon nitride during a field oxide oxidation process.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: January 11, 2011
    Assignee: National Semiconductor Corporation
    Inventors: Richard W. Foote, Terry Lee Lines, Alexei Sadovnikov, Andy Strachan
  • Patent number: 7863145
    Abstract: A method for fabricating an LCOS device. The method includes providing a semiconductor substrate and forming a plurality of MOS transistor devices formed on a portion of the semiconductor substrate. The method includes forming a first dielectric layer overlying the plurality of transistor devices and forming a first metal layer overlying the first dielectric layer. The method includes forming a second dielectric layer overlying the first metal layer and forming a plurality of pixel regions made substantially of silver bearing material overlying the second dielectric layer. In a preferred embodiment, the silver bearing material has much higher reflectivity for wavelengths of 450 nanometers and greater.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: January 4, 2011
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Yanghui Oliver Xiang, Enlian Lu
  • Patent number: 7858466
    Abstract: A method of manufacturing different-voltage devices mainly comprises forming at least one high-voltage well in high-voltage device regions, at least one N-well in low-voltage device regions, at least one P-well in low-voltage device regions, source/drain wells in high-voltage device regions, and isolation wells in isolation regions in a p-type substrate. The breakdown voltage is adjusted by modulating the ion doping profile. Furthermore, parameters of implanting conductive ions are adjusted for implanting conductive ions into both high-voltage device regions and low-voltage device regions. The isolation wells formed in isolation regions between devices are for separating device formed over high-voltage device regions and device formed over low-voltage device regions. The thickness of a HV gate oxide layer is thicker than the thickness of an LV gate oxide layer for modulating threshold voltages of high-voltage devices and low-voltage devices.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: December 28, 2010
    Assignee: System General Corp.
    Inventors: Chih-Feng Huang, Ta-yung Yang, Jenn-yu G. Lin, Tuo-Hsin Chien
  • Patent number: 7851329
    Abstract: A semiconductor device having an EDMOS transistor and a method for forming the same are provided. The semiconductor device includes source and drain regions formed separately in a semiconductor substrate, a first gate insulating layer filling a trench formed in the substrate between the source and drain regions, the first gate insulating layer being adjacent to the drain region and separated from the source region, a second gate insulating layer formed over the substrate between the first gate insulating layer and the source region, the second gate insulating layer being thinner than the first gate insulating layer, a gate electrode formed over the first and second gate insulating layers, and a doped drift region formed in the substrate under the first gate insulating layer, the doped drift region being in contact with the drain region. This reduces the planar area of the EDMOS transistor, thereby achieving highly integrated semiconductor devices.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: December 14, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Hyun-Soo Shin