Differential Etching Patents (Class 438/504)
  • Publication number: 20120276722
    Abstract: A method for growing a semipolar nitride comprises steps: forming a plurality of parallel discrete trenches on a silicon substrate , each discrete trenches having a first wall and a second wall, wherein a tilt angle is formed between the surface of the silicon substrate and the first wall; forming a buffer layer on the silicon substrate and the trenches, wherein the buffer layer on the first wall has a plurality of growing zones and a plurality of non-growing zones among the growing zones and complementary to the growing zones; forming a cover layer on the buffer layer and revealing the growing zones; and growing a semipolar nitride from the growing zones of the buffer layer and covering the cover layer. Thereby cracks caused by thermal stress between the silicon substrate and semipolar nitride are decreased and the quality of the semipolar nitride film is improved.
    Type: Application
    Filed: July 6, 2011
    Publication date: November 1, 2012
    Inventors: Jen-Inn CHYI, Hsueh-Hsing Liu, Hsien Yu Lin
  • Patent number: 8283241
    Abstract: A dopant device includes: a dopant holder that holds Ge which is solid at normal temperature and liquefies the Ge near a surface of the semiconductor melt, the dopant holder including a communicating hole for delivering the liquefied Ge downwardly; a cover portion for covering the Ge held by the dopant holder; and a vent provided on the cover portion for communicating with the outside. A dopant injecting method is carried out using such a dopant device, the dopant injecting method including: loading Ge dopant in a solid state into the doping device; liquefying the solid Ge dopant loaded into the doping device while holding the doping device at a predetermined height from a surface of a semiconductor melt; and doping the semiconductor melt with the liquefied Ge that is flowed from the communicating hole.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: October 9, 2012
    Assignee: Sumco Techxiv Corporation
    Inventors: Yasuhito Narushima, Shinichi Kawazoe, Fukuo Ogawa, Toshimichi Kubota
  • Patent number: 8268708
    Abstract: Silicon wafers polished on their front sides are individually placed on a susceptor in an epitaxy reactor and firstly pretreated under a hydrogen atmosphere, and secondly with addition of an etching medium with a flow rate of 1.5-5 slm to the hydrogen atmosphere, the hydrogen flow rate being 1-100 slm in both steps, and subsequently epitaxially coated on the polished front side, and then removed from the reactor. In a second method, gas flows introduced into the reactor by injectors are distributed into outer and inner zones of the chamber, such that the inner zone gas flow acts on a wafer central region and the outer zone gas flow acts on a wafer edge region, the inner/outer distribution of the etching medium I/O=0-0.75. Silicon wafers having an epitaxial layer having global flatness value GBIR of 0.02-0.06 ?m, relative to an edge exclusion of 2 mm are produced.
    Type: Grant
    Filed: January 5, 2010
    Date of Patent: September 18, 2012
    Assignee: Siltronic AG
    Inventors: Joerg Haberecht, Christian Hager, Georg Brenninger
  • Publication number: 20120220110
    Abstract: A semiconductor fabrication apparatus and a method of fabricating a semiconductor device using the same performs semiconductor etching and deposition processes at an edge of a semiconductor substrate after disposing the semiconductor substrate at a predetermined place in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus has lower, middle and upper electrodes sequentially stacked. The semiconductor substrate is disposed on the middle electrode. Semiconductor etching and deposition processes are performed on the semiconductor substrate in the semiconductor fabrication apparatus. The semiconductor fabrication apparatus forms electrical fields along an edge of the middle electrode during performance of the semiconductor etching and deposition processes.
    Type: Application
    Filed: May 10, 2012
    Publication date: August 30, 2012
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Woo LEE, Jin-sung kim, Joo-Byoung Yoon, Yeong-Cheol Lee, Sang-Jun Park, Hee-kyeong Jeon
  • Patent number: 8241940
    Abstract: This disclosure presents manufacturing methods and apparatus designs for making TFSSs from both sides of a re-usable semiconductor template, thus effectively increasing the substrate manufacturing throughput and reducing the substrate manufacturing cost. This approach also reduces the amortized starting template cost per manufactured substrate (TFSS) by about a factor of 2 for a given number of template reuse cycles.
    Type: Grant
    Filed: February 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Solexel, Inc.
    Inventors: Mehrdad M. Moslehi, Karl-Josef Kramer, David Xuan-Qi Wang, Pawan Kapur, Somnath Nag, George D Kamian, Jay Ashjaee, Takao Yonehara
  • Publication number: 20120178215
    Abstract: A nitride crystal or wafer with a removable surface layer comprises a high quality nitride base crystal, a release layer, and a high quality epitaxial layer. The release layer has a large optical absorption coefficient at wavelengths where the base crystal is substantially transparent and may be etched under conditions where the nitride base crystal and the high quality epitaxial layer are not. The high quality epitaxial layer may be removed from the nitride base crystal by laser liftoff or by chemical etching after deposition of at least one epitaxial device layer. The nitride crystal with a removable surface layer is useful as a substrate for a light emitting diode, a laser diode, a transistor, a photodetector, a solar cell, or for photoelectrochemical water splitting for hydrogen generation.
    Type: Application
    Filed: March 20, 2012
    Publication date: July 12, 2012
    Applicant: Soraa, Inc.
    Inventor: Mark P. D'Evelyn
  • Patent number: 8216366
    Abstract: A cubic silicon carbide single crystal thin film is manufactured by a method. A sacrificial layer is formed on a surface of a substrate. A cubic semiconductor layer is formed on the sacrificial layer, the cubic semiconductor layer having at least a surface of cubic crystal structure. A cubic silicon carbide single crystal layer is formed on the cubic semiconductor layer. The sacrificial layer is etched away to release a multilayer structure of the cubic semiconductor layer and the 3C—SiC layer from the substrate. A cubic silicon carbide single crystal thin film of a multilayer structure includes an AlxGa1-xAs (0.6>x?0) layer and a cubic silicon carbide single crystal layer. A metal layer is formed on a substrate. The multilayer structure is bonded to the metal layer with the AlxGa1-xAs (0.6>x?0) in direct contact with the metal layer.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: July 10, 2012
    Assignee: Oki Data Corporation
    Inventors: Mitsuhiko Ogihara, Masaaki Sakuta
  • Publication number: 20120142173
    Abstract: A manufacturing method of an SiC single crystal includes preparing an SiC substrate, implanting ions into a surface portion of the SiC substrate to form an ion implantation layer, activating the ions implanted into the surface portion of the SiC substrate by annealing, chemically etching the surface portion of the SiC substrate to form an etch pit that is caused by a threading screw dislocation included in the SiC substrate and performing an epitaxial growth of SiC to form an SiC growth layer on a surface of the SiC substrate including an inner wall of the etch pit in such a manner that portions of the SiC growth layer grown on the inner wall of the etch pit join with each other.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 7, 2012
    Applicant: DENSO CORPORATION
    Inventors: Hiroki WATANABE, Yasuo KITOU, Yasushi FURUKAWA, Kensaku YAMAMOTO, Hidefumi TAKAYA, Masahiro SUGIMOTO, Yukihiko WATANABE, Narumasa SOEJIMA, Tsuyoshi ISHIKAWA
  • Patent number: 8183879
    Abstract: The invention relates to a measuring arrangement, a semiconductor arrangement and a method for operating a reference source, wherein at least one semiconductor component and a voltage source are connected to a measuring unit and the measuring unit provides a measured value that is proportional to the number of defects.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: May 22, 2012
    Assignee: Infineon Technologies AG
    Inventors: Ralf Brederlow, Roland Thewes
  • Patent number: 8173462
    Abstract: A manufacturing method of a nitride crystalline film includes following steps. First, a substrate is provided. Next, a first nitride crystalline film is formed on the substrate. A patterned mask is then formed on the first nitride crystalline film. The patterned mask covers a first part of the first nitride crystalline film and exposes a second part of the first nitride crystalline film. Afterwards, the second part is etched, and the first part is maintained. After that, the patterned mask is removed. The first part is then etched to form a plurality of nitride crystal nuclei. Next, a second nitride crystalline film is formed on the substrate, and the second nitride crystalline film is made to cover the nitride crystal nuclei. A nitride film and a substrate structure are also provided.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: May 8, 2012
    Assignee: National Central University
    Inventors: Cheng-Huang Kuo, Chi-Wen Kuo, Chun-Ju Tun
  • Patent number: 8173991
    Abstract: An optoelectronic semiconductor chip is specified, which has an active zone (20) containing a multi quantum well structure provided for generating electromagnetic radiation, which comprises a plurality of successive quantum well layers (210, 220, 230). The multi quantum well structure comprises at least one first quantum well layer (210), which is n-conductively doped and which is arranged between two n-conductively doped barrier layers (250) adjoining the first quantum well layer. It comprises a second quantum well layer (220), which is undoped and is arranged between two barrier layers (250, 260) adjoining the second quantum well layer, of which one is n-conductively doped and the other is undoped. In addition, the multi quantum well structure comprises at least one third quantum well layer (230), which is undoped and which is arranged between two undoped barrier layers (260) adjoining the third quantum well layer.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: May 8, 2012
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventors: Peter Stauss, Matthias Peter, Alexander Walter
  • Publication number: 20110287600
    Abstract: A method for forming a semiconductor structure includes forming a gate stack over a semiconductor substrate; forming a recess in the semiconductor substrate and adjacent the gate stack; and performing a selective epitaxial growth to grow a semiconductor material in the recess to form an epitaxy region. After the step of performing the selective epitaxial growth, a selective etch-back is performed to the epitaxy region. The selective etch-back is performed using process gases comprising a first gas for growing the semiconductor material, and a second gas for etching the epitaxy region.
    Type: Application
    Filed: May 20, 2010
    Publication date: November 24, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hung Cheng, Chii-Horng Li, Tze-Liang Lee
  • Publication number: 20110263108
    Abstract: The invention relates to a method of fabricating at least one semiconductor quantum dot at a predefined position, comprising the steps of: patterning a semiconductor base material using nanoimprint lithography and an etching step, to form at least one nano-hole at the predefined position in the semiconductor base material; and growing the at least one semiconductor quantum dot in or on top of the at least one nano-hole by metalorganic chemical vapor deposition.
    Type: Application
    Filed: April 27, 2010
    Publication date: October 27, 2011
    Inventors: Hongbo Lan, Udo W. Pohl, Dieter Bimberg
  • Publication number: 20110253982
    Abstract: Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures.
    Type: Application
    Filed: October 28, 2009
    Publication date: October 20, 2011
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Deli Wang, Cesare Soci, Xinyu Bao, Wei Wei, Yi Jing, Ke Sun
  • Patent number: 8019458
    Abstract: The invention provides a method of processing a wafer using multilayer processing sequences and Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models and libraries that can include one or more measurement procedures, one or more Poly-Etch (P-E) sequences, and one or more metal-gate etch sequences. The MLMIMO process control uses dynamically interacting behavioral modeling between multiple layers and/or multiple process steps. The multiple layers and/or the multiple process steps can be associated with the creation of lines, trenches, vias, spacers, contacts, and gate structures that can be created using isotropic and/or anisotropic etch processes.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: September 13, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Merritt Funk, Radha Sundararajan, Asao Yamashita, Daniel Prager, Hyung Joo Lee
  • Publication number: 20110189842
    Abstract: Semiconductor wafers composed of silicon with an epitaxially deposited layer, are prepared by: placing a dummy wafer on a susceptor of an epitaxy reactor; conducting an etching gas through the epitaxy reactor in order to remove residues on surfaces in the epitaxy reactor through the action of the etching gas; conducting a first deposition gas through the epitaxy reactor in order to deposit silicon on surfaces in the epitaxy reactor; replacing the dummy wafer by a substrate wafer composed of silicon; and conducting a second deposition gas through the epitaxy reactor in order to deposit an epitaxial layer on the substrate wafer.
    Type: Application
    Filed: January 27, 2011
    Publication date: August 4, 2011
    Applicant: SILTRONIC AG
    Inventors: Christian Hager, Thomas Loch, Norbert Werner
  • Patent number: 7955959
    Abstract: A method for manufacturing GaN-based film LED based on masklessly transferring photonic crystal structure is disclosed. Two dimensional photonic crystals are formed on a sapphire substrate. Lattice quality of GaN-based epitaxy on the sapphire substrate is improved, and the internal quantum efficiency of GaN-based LED epitaxy is increased. After the GaN-based film is transferred onto heat sink substrate, the two dimensional photonic crystals structure is masklessly transferred onto the light exiting surface of the GaN-based film by using different etching rates between the GaN material and the SiO2 mask, so that light extraction efficiency of the GaN-based LED is improved. That is, the GaN-based film LED according to the invention has a relatively high illumination efficiency and heat sink.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: June 7, 2011
    Assignee: Xiamen Sanan Optoelectronics Technology Co., Ltd.
    Inventors: Jyh Chiarng Wu, Xuejiao Lin, Qunfeng Pan, Meng Hsin Yeh, Huijun Huang
  • Patent number: 7902053
    Abstract: Formation and etching of an n type epitaxial layer and formation and etching of a p type epitaxial layer are alternately performed on the semiconductor substrate for at least three times to form all semiconductor layers, of the epitaxial layers. Thereby, impurity concentration profiles of the semiconductor layers can be uniform, and pn junctions can be formed vertically to a wafer surface. Furthermore, the semiconductor layers can each be formed with a narrow width, so that impurity concentrations thereof are increased. With this configuration, high breakdown voltage and low resistance can be achieved.
    Type: Grant
    Filed: August 27, 2008
    Date of Patent: March 8, 2011
    Assignees: Sanyo Electric Co., Ltd, Sanyo Semiconductor Co., Ltd
    Inventors: Hiroyasu Ishida, Yasuyuki Sayama
  • Patent number: 7897495
    Abstract: Methods for formation of epitaxial layers containing silicon are disclosed. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the formation of the epitaxial layer involves exposing a substrate in a process chamber to deposition gases including two or more silicon source such as silane and a higher order silane. Embodiments include flowing dopant source such as a phosphorus dopant, during formation of the epitaxial layer, and continuing the deposition with the silicon source gas without the phosphorus dopant.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: March 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Andrew M. Lam, Yihwan Kim
  • Patent number: 7803690
    Abstract: Methods and structures for semiconductor devices with STI regions in SOI substrates is provided. A semiconductor structure comprises an SOI epitaxy island formed over a substrate. The structure further comprises an STI structure surrounding the SOI island. The STI structure comprises a second epitaxial layer on the substrate, and a second dielectric layer on the second epitaxial layer. A semiconductor fabrication method comprises forming a dielectric layer over a substrate and surrounding a device fabrication region in the substrate with an isolation trench extending through the dielectric layer. The method also includes filling the isolation trench with a first epitaxial layer and forming a second epitaxial layer over the device fabrication region and over the first epitaxial layer. Then a portion of the first epitaxial layer is replaced with an isolation dielectric, and then a device such as a transistor is formed second epitaxial layer within the device fabrication region.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: September 28, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hua Yu, Tze-Liang Lee, Pang-Yen Tsai
  • Publication number: 20100176491
    Abstract: Silicon wafers polished on their front sides are individually placed on a susceptor in an epitaxy reactor and firstly pretreated under a hydrogen atmosphere, and secondly with addition of an etching medium with a flow rate of 1.5-5 slm to the hydrogen atmosphere, the hydrogen flow rate being 1-100 slm in both steps, and subsequently epitaxially coated on the polished front side, and then removed from the reactor. In a second method, gas flows introduced into the reactor by injectors are distributed into outer and inner zones of the chamber, such that the inner zone gas flow acts on a wafer central region and the outer zone gas flow acts on a wafer edge region, the inner/outer distribution of the etching medium I/O=0-0.75. Silicon wafers having an epitaxial layer having global flatness value GBIR of 0.02-0.06 ?m, relative to an edge exclusion of 2 mm are produced.
    Type: Application
    Filed: January 5, 2010
    Publication date: July 15, 2010
    Applicant: SILTRONIC AG
    Inventors: Joerg Haberecht, Christian Hager, Georg Brenninger
  • Patent number: 7737468
    Abstract: Semiconductor devices and methods of manufacturing thereof are disclosed. In a preferred embodiment, a method of manufacturing a semiconductor device includes providing a workpiece, and forming a recess in the workpiece. The recess has a depth having a first dimension. A first semiconductive material is formed in the recess to partially fill the recess in a central region to a height having a second dimension. The second dimension is about one-half or greater of the first dimension. A second semiconductive material is formed over the first semiconductive material in the recess to completely fill the recess, the second semiconductive material being different than the first semiconductive material.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: June 15, 2010
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Jin-Ping Han, Henry Utomo, O Sung Kwon, Oh Jung Kwon, Judson Robert Holt, Thomas N. Adam
  • Publication number: 20100124814
    Abstract: Methods which can be applied during the epitaxial growth of semiconductor structures and layers of III-nitride materials so that the qualities of successive layers are successively improved. An intermediate epitaxial layer is grown on an initial surface so that growth pits form at surface dislocations present in the initial surface. A following layer is then grown on the intermediate layer according to the known phenomena of epitaxial lateral overgrowth so it extends laterally and encloses at least the agglomerations of intersecting growth pits. Preferably, prior to growing the following layer, a discontinuous film of a dielectric material is deposited so that the dielectric material deposits discontinuously so as to reduce the number of dislocations in the laterally growing material. The methods of the invention can be performed multiple times to the same structure. Also, semiconductor structures fabricated by these methods.
    Type: Application
    Filed: November 13, 2009
    Publication date: May 20, 2010
    Inventor: Chantal Arena
  • Patent number: 7696071
    Abstract: The invention provides a method for producing a group III nitride based semiconductor having a reduced number of crystal defects. A GaN layer 2 is epitaxially grown on a sapphire substrate 1 having C-plane as a main plane (FIG. 1A). Then, the layer is wet-etched by use of a 25% aqueous TMAH solution at 85° C. for one hour, to thereby form an etch pit 4 (FIG. 1B). Then, a GaN layer 5 is grown on the GaN layer 2 through the ELO method (FIG. 1C). The thus-formed GaN layer 5 has a screw dislocation density lower than that of the GaN layer 2.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: April 13, 2010
    Assignees: Kabushiki Kaisha Toyota Chuo Kenkyusho, Toyota Jidosha Kabushiki Kaisha
    Inventors: Masahito Kodama, Eiko Hayashi, Masahiro Sugimoto
  • Publication number: 20100029070
    Abstract: A method for producing a device includes embedding trenches with an epitaxial layer having high crystallinity while a mask oxide film remains unremoved. An n-type semiconductor is formed on the surface of a silicon substrate, and a mask oxide film and a mask nitride film are formed on the surface of the n-type semiconductor. The mask laminated film is opened by photolithography and etching, and trenches are formed in the silicon substrate. The width of the remaining mask laminated film is narrowed, whereby portions of the n-type semiconductor close to the opening ends of the trenches are exposed. The trenches are embedded with a p-type semiconductor, whereby the surface of the mask laminated film is prevented from being covered with the p-type semiconductor. The p-type semiconductor is grown from the second exposed portions of the n-type semiconductor. V-shaped grooves are prevented from forming on the surface of the p-type semiconductor.
    Type: Application
    Filed: August 3, 2009
    Publication date: February 4, 2010
    Applicant: FUJI ELECTRIC DEVICE TECHNOLOGY CO., LTD.
    Inventor: Kazuya Yamaguchi
  • Publication number: 20090280627
    Abstract: A method of fabricating a semiconductor transistor device is provided. The fabrication method begins by forming a gate structure overlying a layer of semiconductor material, such as silicon. Then, spacers are formed about the sidewalls of the gate structure. Next, ions of an amorphizing species are implanted into the semiconductor material at a tilted angle toward the gate structure. The gate structure and the spacers are used as an ion implantation mask during this step. The ions form amorphized regions in the semiconductor material. Thereafter, the amorphized regions are selectively removed, resulting in corresponding recesses in the semiconductor material. In addition, the recesses are filled with stress inducing semiconductor material, and fabrication of the semiconductor transistor device is completed.
    Type: Application
    Filed: May 12, 2008
    Publication date: November 12, 2009
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Rohit Pal, Frank Bin Yang, Michael Hargrove
  • Publication number: 20090246947
    Abstract: Disclosed herein is a method of manufacturing a semiconductor device that includes forming a metal catalytic pattern on a semiconductor substrate; etching the semiconductor substrate using the metal catalytic pattern as an etching mask to form a recess; forming an insulating layer over a structure including the recess, the metal catalytic pattern, and the semiconductor substrate; patterning the insulating layer to cross over the metal catalytic pattern and to expose a predetermined portion of the metal catalytic pattern; and growing a nano wire using the exposed predetermined portion of the metal catalytic pattern.
    Type: Application
    Filed: June 26, 2008
    Publication date: October 1, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Seung Hyun Lee
  • Publication number: 20090163001
    Abstract: Methods and apparatuses for selective epitaxial formation of films separately inject reactive species into a CVD chamber. The methods are particularly useful for selective deposition using volatile combinations of precursors and etchants. Formation processes include simultaneous supply of precursors and etchants for selective deposition, or sequential supply for cyclical blanket deposition and selective etching. In either case, precursors and etchants are provided along separate flow paths that intersect in the relatively open reaction space, rather than in more confined upstream locations.
    Type: Application
    Filed: December 21, 2007
    Publication date: June 25, 2009
    Applicant: ASM AMERICA, INC.
    Inventor: Matthias Bauer
  • Publication number: 20090032842
    Abstract: The present nanomembrane structures include a multilayer film comprising a single-crystalline layer of semiconductor material disposed between two other single-crystalline layers of semiconductor material. A plurality of holes extending through the nanomembrane are at least partially, and preferably entirely, filled with a filler material which is also a semiconductor, but which differs from the nanomembrane semiconductor materials in composition, crystal orientation, or both.
    Type: Application
    Filed: March 10, 2008
    Publication date: February 5, 2009
    Inventors: Max G. Lagally, Shelley A. Scott, Donald E. Savage
  • Publication number: 20090014756
    Abstract: A method for growing a SiC-containing film on a Si substrate is disclosed. The SiC-containing film can be formed on a Si substrate by, for example, plasma sputtering, chemical vapor deposition, or atomic layer deposition. The thus-grown SiC-containing film provides an alternative to expensive SiC wafers for growing semiconductor crystals.
    Type: Application
    Filed: July 13, 2007
    Publication date: January 15, 2009
    Inventors: Narsingh Bahadur Singh, Brian P. Wagner, David J. Knuteson, David Kahler, Andre E. Berghmans, Michael Aumer, Jerry W. Hedrick, Marc E. Sherwin, Michael M. Fitelson, Mark S. Usefara, Sean McLaughlin, Travis Randall, Thomas J. Knight
  • Publication number: 20090001416
    Abstract: Si-doped porous GaN is fabricated by UV-enhanced Pt-assisted electrochemical etching and together with a low-temperature grown buffer layer are utilized as the template for InGaN growth. The porous network in GaN shows nanostructures formed on the surface. Subsequent growth of InGaN shows that it is relaxed on these nanostructures as the area on which the growth takes place is very small. The strain relaxation favors higher indium incorporation. Besides, this porous network creates a relatively rough surface of GaN to modify the surface energy which can enhance the nucleation of impinging indium atoms thereby increasing indium incorporation. It shifts the luminescence from 445 nm for a conventionally grown InGaN structure to 575 nm and enhances the intensity by more than two-fold for the growth technique in the present invention under the same growth conditions. There is also a spectral broadening of the output extending from 480 nm to 720 nm.
    Type: Application
    Filed: June 28, 2007
    Publication date: January 1, 2009
    Inventors: Soo Jin Chua, Haryono Hartono, Chew Beng Soh
  • Publication number: 20080290370
    Abstract: Semiconductor devices and methods of manufacturing thereof are disclosed. In a preferred embodiment, a method of manufacturing a semiconductor device includes providing a workpiece, and forming a recess in the workpiece. The recess has a depth having a first dimension. A first semiconductive material is formed in the recess to partially fill the recess in a central region to a height having a second dimension. The second dimension is about one-half or greater of the first dimension. A second semiconductive material is formed over the first semiconductive material in the recess to completely fill the recess, the second semiconductive material being different than the first semiconductive material.
    Type: Application
    Filed: May 21, 2007
    Publication date: November 27, 2008
    Inventors: Jin-Ping Han, Henry Utomo, O Sung Kwon, Oh Jung Kwon, Judson Robert Holt, Thomas N. Adam
  • Patent number: 7439164
    Abstract: Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate while in situ doping the epitaxial layer to suppress facet formation. Suppression of faceting during selective epitaxial growth by in situ doping of the epitaxial layer at a predetermined level rather than by manipulating spacer composition and geometry alleviates the stringent requirements on the device design and increases tolerance to variability during the spacer fabrication.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: October 21, 2008
    Assignee: AmberWave Systems Corporation
    Inventors: Thomas A. Langdo, Anthony J. Lochtefeld
  • Patent number: 7364958
    Abstract: A method in which semiconductor-to-semiconductor direct wafer bonding is employed to provide a hybrid substrate having semiconductor layers of different crystallographic orientations that are separated by a conductive interface is provided. Also provided are the hybrid substrate produced by the method as well as using the direct bonding method to provide an integrated semiconductor structure in which various CMOS devices are built upon a surface orientation that enhances device performance.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: April 29, 2008
    Assignee: International Business Machines Corporation
    Inventors: Meikei Ieong, Alexander Reznicek, Min Yang
  • Patent number: 7208396
    Abstract: A plurality of successive layers are firmly adhered to one another and to a wafer surface and an electrical component or sub-assembly even when the wafer surface is not even and the layers are bent. The wafer surface is initially cleaned by an ion bombardment of an inert gas (e.g. argon) on the wafer surface in an RF discharge at a relatively high gas pressure. The wafer surface is then provided with a microscopic roughness by applying a low power so that the inert gas (e.g. argon) ions do not have sufficient energy to etch the surface. A layer of chromium is then sputter deposited on the wafer surface as by a DC magnetron with an intrinsic tensile stress and low gas entrapment by passing a minimal amount of the inert gas through the magnetron and by applying no RF bias to the wafer. The chromium layer is atomically bonded to the microscopically rough wafer surface.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: April 24, 2007
    Assignee: Tegal Corporation
    Inventor: Valery V. Felmetsger
  • Patent number: 7189592
    Abstract: A robust single-chip hydrogen sensor and a method for fabricating such a sensor. By utilizing an interconnect metallization material that is the same or similar to the material used to sense hydrogen, or that is capable of withstanding an etchant used to pattern a hydrogen sensing portion, device yields are improved over prior techniques.
    Type: Grant
    Filed: May 3, 2004
    Date of Patent: March 13, 2007
    Assignee: Honeywell International Inc.
    Inventor: James M. O'Connor
  • Patent number: 7129154
    Abstract: A nanowire of a semiconductor material and having a uniform cross-sectional area along its length is grown using a chemical vapor deposition process. In the method, a substrate is provided, a catalyst nanoparticle is deposited on the substrate, a gaseous precursor mixture comprising a constituent element of the semiconductor material is passed over the substrate, and adatoms of the constituent element are removed from a lateral surface of the nanowire during the passing of the precursor mixture. The removing comprises passing over the substrate a gaseous etchant that forms a volatile compound with the adatoms, the gaseous etchant comprising a halogenated hydrocarbon. Removing the adatoms of the constituent element before such adatoms are incorporated into the nanowire prevents such adatoms from accumulating on the lateral surface of the nanowire and allows the nanowire to grow with a uniform cross-sectional area along its length.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: October 31, 2006
    Assignee: Agilent Technologies, Inc
    Inventor: Sung Soo Yi
  • Patent number: 7122449
    Abstract: Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate while in situ doping the epitaxial layer to suppress facet formation. Suppression of faceting during selective epitaxial growth by in situ doping of the epitaxial layer at a predetermined level rather than by manipulating spacer composition and geometry alleviates the stringent requirements on the device design and increases tolerance to variability during the spacer fabrication.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: October 17, 2006
    Assignee: Amberwave Systems Corporation
    Inventors: Thomas A. Langdo, Anthony J. Lochtefeld
  • Patent number: 7063751
    Abstract: A trench is formed in a semiconductor substrate through a mask composed of a silicon oxide film formed on the semiconductor substrate. Then, an edge portion at an opening portion of the mask is etched so that the width of the mask opening width is greater than the width of the trench. After that, the inner surface of the trench is smoothed by thermal treatment around at 1000° C. in non-oxidizing or non-nitriding atmosphere under low pressure. Then, the trench is filled with an epitaxial film. After that, the epitaxial film is polished to complete the substrate.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: June 20, 2006
    Assignee: Denso Corporation
    Inventors: Yasushi Urakami, Shoichi Yamauchi, Hitoshi Yamaguchi, Nobuhiro Tsuji
  • Patent number: 7060597
    Abstract: A manufacturing method for a silicon substrate having a strained layer, has steps of forming a plurality of atomic steps having a height of 0.1 nm or more on the surface of a silicon substrate, forming a plurality of terraces having a width of 0.1 ?m or more between the plurality of atomic steps and forming a SiGe layer or a SiGe layer and a Si layer on the silicon substrate.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: June 13, 2006
    Assignee: Toshiba Ceramics Co., Ltd.
    Inventors: Hisatsugu Kurita, Masato Igarashi, Takeshi Senda, Koji Izunome
  • Patent number: 7029988
    Abstract: A method and device are provided for shallow trench isolation for a silicon wafer containing silicon-germanium. In one example, the method comprises forming a trench region in a silicon-germanium layer of a semiconductor substrate containing a single crystal silicon-germanium layer on the surface; forming a first single crystal silicon layer in the trench region and an active region; oxidizing the first single crystal silicon layer; forming a first thermal oxide layer on the surface of the first single crystal silicon layer; forming a device isolation region; embedding an insulator in the trench region; and forming a device in an active region over the single crystal silicon-germanium layer separated by the device isolation region, wherein the step of forming the device in the active region further includes forming a doped region of a depth to reach within the single crystal silicon-germanium layer below the first single crystal silicon layer.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: April 18, 2006
    Assignee: Renesas Technology Corporation
    Inventors: Kazuhiro Ohnishi, Nobuyuki Sugii, Takahiro Onai
  • Patent number: 7018912
    Abstract: Disclosed is a method of fabricating nitride semiconductors in a MOCVD reactor. GaN is first deposited on an inner wall of the MOCVD reactor, and a sapphire substrate is loaded into the MOCVD reactor. The sapphire substrate is heated and etching gas is injected into the MOCVD reactor. NH3 gas is injected into the MOCVD reactor to nitrify the surface of the sapphire substrate. A nitride semiconductor layer is grown on the nitrified sapphire substrate. By surface-reforming the sapphire substrate and then growing the nitride semiconductor layer on the surface-reformed sapphire substrate via MOCVD without formation of a low temperature buffer layer, an excellent nitride semiconductor structure can be realized. In this circumstance, the nitride semiconductor layer for example of GaN can be grown effectively on the surface-treated sapphire substrate because GaN deposition occurs on the sapphire substrate while it is etched.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: March 28, 2006
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Sun Woon Kim, In Eung Kim, Hun Joo Hahm, Soo Min Lee, Dong Joon Kim, Je Won Kim
  • Patent number: 6946371
    Abstract: Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate while in situ doping the epitaxial layer to suppress facet formation. Suppression of faceting during selective epitaxial growth by in situ doping of the epitaxial layer at a predetermined level rather than by manipulating spacer composition and geometry alleviates the stringent requirements on the device design and increases tolerance to variability during the spacer fabrication.
    Type: Grant
    Filed: June 10, 2003
    Date of Patent: September 20, 2005
    Assignee: Amberwave Systems Corporation
    Inventors: Thomas A. Langdo, Anthony J. Lochtefeld
  • Patent number: 6878606
    Abstract: A method and device are provided for shallow trench isolation for a silicon wafer containing silicon-germanium. In one example, the method comprises forming a trench region in a silicon-germanium layer of a semiconductor substrate containing a single crystal silicon-germanium layer on the surface; forming a first single crystal silicon layer in the trench region and an active region; oxidizing the first single crystal silicon layer; forming a first thermal oxide layer on the surface of the first single crystal silicon layer; forming a device isolation region; embedding an insulator in the trench region; and forming a device in an active region over the single crystal silicon-germanium layer separated by the device isolation region, wherein the step of forming the device in the active region further includes forming a doped region of a depth to reach within the single crystal silicon-germanium layer below the first single crystal silicon layer.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: April 12, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Kazuhiro Ohnishi, Nobuyuki Sugii, Takahiro Onai
  • Patent number: 6872967
    Abstract: In the manufacture of a semiconductor laser device, sequentially grown on a sapphire substrate in the following order are a buffer layer, a first undoped GaN layer, a first super lattice defect reducing layer, a second undoped GaN layer, a second super lattice defect reducing layer, a third undoped GaN layer, a third super lattice defect reducing layer and a fourth undoped GaN layer. A device structure is then formed thereon. The first to third super lattice defect reducing layers each include five pairs of InGaN and AlGaN films alternately placed on one another in this order.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: March 29, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Takashi Kano, Hiroki Ohbo
  • Patent number: 6746925
    Abstract: In a method of forming an integrated circuit device, sidewall oxides are formed by plasma oxidation on the patterned gate. This controls encroachment beneath a dielectric layer underlying the patterned gate. The patterned gate is oxidized using in-situ O2 plasma oxidation. The presence of the sidewall oxides minimizes encroachment under the gate edge.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: June 8, 2004
    Assignee: LSI Logic Corporation
    Inventors: Hong Lin, Shiqun Gu, Wai Lo, Jim Elmer
  • Publication number: 20030219934
    Abstract: A pixel portion 100 of a liquid crystal display de ice comprises a thin film transistor T comprising a gate electrode 13, a gate insulating film 16, a channel region 18, and source/drain regions 22, a source line (data) 26 for supplying current to the thin film transistor T and a pixel electrode 24. In the formation of a pixel circuit 100, a gate electrode 13, a gate insulating film 16, and the channel region 18 are firstly formed on a glass substrate 10. After the formation of the channel region 18 and the like, a polyimide film 20 surrounding the peripheries of the regions to be provide with the source/drain regions 22, the pixel electrode 24 and the source line 26 on a glass substrate 10 is formed. The regions surrounded with the wall of the polyimide film 20 are applied with a liquid material and subjected to a thermal treatment, thereby forming the element of the source/drain regions 22 and the like.
    Type: Application
    Filed: April 21, 2003
    Publication date: November 27, 2003
    Inventor: Masahiro Furusawa
  • Publication number: 20030186515
    Abstract: The invention includes a method for treating a plurality of discrete semiconductor substrates. The discrete semiconductor substrates are placed within a reactor chamber. While the substrates are within the chamber, they are simultaneously exposed to one or more of H, F and Cl to remove native oxide. After removing the native oxide, the substrates are simultaneously exposed to a first reactive material to form a first mass across at least some exposed surfaces of the substrates. The first reactive material is removed from the reaction chamber, and subsequently the substrates are exposed to a second reactive material to convert the first mass to a second mass. The invention also includes apparatuses which can be utilized for simultaneous ALD treatment of a plurality of discrete semiconductor substrates.
    Type: Application
    Filed: March 13, 2002
    Publication date: October 2, 2003
    Inventors: Trung Tri Dean, Lyle D. Breiner, Er-Xuan Ping, Lingyi A. Zheng
  • Publication number: 20030176047
    Abstract: The invention includes a method for treating a plurality of discrete semiconductor substrates. The discrete semiconductor substrates are placed within a reactor chamber. While the substrates are within the chamber, they are simultaneously exposed to one or more of H, F and Cl to remove native oxide. After removing the native oxide, the substrates are simultaneously exposed to a first reactive material to form a first mass across at least some exposed surfaces of the substrates. The first reactive material is removed from the reaction chamber, and subsequently the substrates are exposed to a second reactive material to convert the first mass to a second mass. The invention also includes apparatuses which can be utilized for simultaneous ALD treatment of a plurality of discrete semiconductor substrates.
    Type: Application
    Filed: January 22, 2003
    Publication date: September 18, 2003
    Inventors: Trung Tri Doan, Lyle D. Breiner, Er-Xuan Ping, Lingyi A. Zheng
  • Patent number: 6602793
    Abstract: An improved pre-clean chamber of a semiconductor processing system minimizes the generation of particulates during processing, thereby decreasing contamination levels that can adversely affect plasma vapor deposition film properties while also decreasing operational costs. The pre-clean chamber comprises an insulator collar that insulates the outside diameter surface of a wafer pedestal, thereby mitigating the etching of the wafer pedestal during etching. The pre-clean chamber further comprises a gas trench cover that directs a suitable etching gas from a gas inlet trench into streams that are focused up and towards the center of the chamber to reduce the extent to which gas bombards the chamber cover. The pre-clean chamber also comprises a bellows cover which protects the bellows of a wafer lift during etching, further reducing the dislodgment of particulates during etching.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: August 5, 2003
    Assignee: Newport Fab, LLC
    Inventor: Sean Masterson