Plasma (e.g., Glow Discharge, Etc.) Patents (Class 438/513)
  • Publication number: 20120302048
    Abstract: Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, the method for implanting ions into a substrate by a plasma immersion ion implantation process includes providing a substrate into a processing chamber, flowing a gas mixture including a hydride dopant gas and a fluorine-containing dopant gas into the processing chamber, wherein the hydride dopant gas comprises P-type hydride dopant gas, N-type hydride dopant gas, or a combination thereof, and the fluorine-containing dopant gas comprises a P-type or N-type dopant atom, generating a plasma from the gas mixture, and co-implanting ions from the gas mixture into a surface of the substrate.
    Type: Application
    Filed: May 1, 2012
    Publication date: November 29, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Kartik Santhanam, Yen B. Ta, Matthew D. Scotney-Castle, Manoj Vellaikal, Martin A. Hilkene, Peter I. Porshnev, Majeed A. Foad
  • Patent number: 8312840
    Abstract: Disclosed is a substrate processing apparatus and method. The substrate processing apparatus includes a chamber (10) providing an internal space, in which a process is carried out onto a substrate; a gas supply unit (40) supplying a source gas to the internal space; a coil (16) generating an electric field in the internal space to generate plasma from the source gas; and an adjustment ring (50) disposed on a flow path of the plasma toward a support member to adjust the flow of the plasma. The chamber (10) includes a process chamber (12), in which the support member is provided and the process is carried out by the plasma; and a generation chamber (14), in which the plasma is generated by the coil (16), provided on the upper surface of the process chamber (12), and the adjustment ring (50) is installed at the lower end of the generation chamber (14).
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: November 20, 2012
    Assignee: Eugene Technology Co., Ltd.
    Inventor: Il-Kwang Yang
  • Patent number: 8313805
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Publication number: 20120289036
    Abstract: The invention generally relates to pre-implant and post-implant treatments to promote the retention of dopants near the surface of an implanted substrate. The pre-implant treatments include forming a plasma from an inert gas and implanting the inert gas into the substrate to render an upper portion of the substrate amorphous. The post-implant treatment includes forming a passivation layer on the upper surface of the substrate after doping the substrate in order to retain the dopant during a subsequent activation anneal.
    Type: Application
    Filed: April 17, 2012
    Publication date: November 15, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kartik Santhanam, Manoj Vellaikal, Yen B. Ta, Matthew D. Scotney-Castle, Peter I. Porshnev
  • Patent number: 8298925
    Abstract: The embodiments of methods and structures are for doping fin structures by plasma doping processes to enable formation of shallow lightly doped source and drain (LDD) regions. The methods involve a two-step plasma doping process. The first step plasma process uses a heavy carrier gas, such as a carrier gas with an atomic weight equal to or greater than about 20 amu, to make the surfaces of fin structures amorphous and to reduce the dependence of doping rate on crystalline orientation. The second step plasma process uses a lighter carrier gas, which is lighter than the carrier gas for the first step plasma process, to drive the dopants deeper into the fin structures. The two-step plasma doping process produces uniform dopant profile beneath the outer surfaces of the fin structures.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: October 30, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chii-Ming Wu, Yu Lien Huang, Chun Hsiung Tsai
  • Patent number: 8283241
    Abstract: A dopant device includes: a dopant holder that holds Ge which is solid at normal temperature and liquefies the Ge near a surface of the semiconductor melt, the dopant holder including a communicating hole for delivering the liquefied Ge downwardly; a cover portion for covering the Ge held by the dopant holder; and a vent provided on the cover portion for communicating with the outside. A dopant injecting method is carried out using such a dopant device, the dopant injecting method including: loading Ge dopant in a solid state into the doping device; liquefying the solid Ge dopant loaded into the doping device while holding the doping device at a predetermined height from a surface of a semiconductor melt; and doping the semiconductor melt with the liquefied Ge that is flowed from the communicating hole.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: October 9, 2012
    Assignee: Sumco Techxiv Corporation
    Inventors: Yasuhito Narushima, Shinichi Kawazoe, Fukuo Ogawa, Toshimichi Kubota
  • Patent number: 8283242
    Abstract: A method includes forming a photoresist pattern over a certain portion of a material layer to expose an ion implantation region, implanting impurities in the ion implantation region of the material layer using the photoresist pattern as an ion implantation barrier, and removing the photoresist pattern using plasma of a gas mixture including a hydrocarbon-based gas.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: October 9, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 8278196
    Abstract: The present disclosure provides a high surface dopant concentration semiconductor device and method of fabricating. In an embodiment, a method of forming the semiconductor device includes providing a substrate, forming a doped region in the substrate, forming a stressing layer over the doped region, performing a boron (B) doping implant to the stressing layer, annealing the B doping implant, and after annealing the B doping implant, forming a silicide layer over the stressing layer.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: October 2, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Mao-Rong Yeh, Chun Hsiung Tsai, Tsung-Hung Lee, Da-Wen Lin, Tsz-Mei Kwok
  • Patent number: 8273624
    Abstract: Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, generating a plasma from a gas mixture including a reacting gas and a etching gas in the chamber, adjusting the ratio between the reacting gas and the etching gas in the supplied gas mixture and implanting ions from the plasma into the substrate. In another embodiment, the method includes providing a substrate into a processing chamber, supplying a gas mixture including reacting gas and a halogen containing reducing gas into the chamber, forming a plasma from the gas mixture, gradually increasing the ratio of the etching gas in the gas mixture, and implanting ions from the gas mixture into the substrate.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: September 25, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Peter Porshnev, Majeed A. Foad
  • Publication number: 20120238074
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, a method of doping a substrate may include forming a dopant region on a substrate by implanting one or more dopant elements into the dopant region of the substrate using a plasma doping process; forming a cap layer atop the dopant region; annealing the dopant region after forming the cap layer; and removing the cap layer after annealing the dopant region.
    Type: Application
    Filed: July 22, 2011
    Publication date: September 20, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: KARTIK SANTHANAM, MARTIN A. HILKENE, MANOJ VELLAIKAL, MARK R. LEE, MATTHEW D. SCOTNEY-CASTLE, PETER I. PORSHNEV
  • Patent number: 8268664
    Abstract: Methods of manufacturing a semiconductor device, a method of manufacturing a memory cell, a semiconductor device, a semiconductor processing device, and a memory cell, are provided. In one embodiment a method of manufacturing a semiconductor device is provided including forming a metal doped chalcogenide layer using light irradiation at least partially during provision of the metal.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: September 18, 2012
    Assignees: Altis Semiconductor, Adesto Technology Corporation
    Inventor: Faiz Dahmani
  • Publication number: 20120231616
    Abstract: Methods and apparatus provide for: a first source of plasma, wherein the plasma includes a first species of ions; a second source of plasma, wherein the plasma includes a second species of ions; selection of the plasma from the first and second sources; and acceleration the first species of ions or the second species of ions toward a semiconductor wafer.
    Type: Application
    Filed: May 15, 2012
    Publication date: September 13, 2012
    Inventor: Sarko Cherekdjian
  • Patent number: 8252670
    Abstract: The invention relates to a production method of a lateral electro-optical modulator on an SOI substrate, the modulator comprising a rib waveguide formed in the thin layer of silicon of the SOI substrate, the rib waveguide being placed between a doped region P and a doped region N formed in the thin layer of silicon, the rib waveguide occupying an intrinsic region of the thin layer, at least one doped zone P being formed in the rib and perpendicularly to the substrate. The method comprises masking steps of the thin layer of silicon to define therein the rib of the waveguide, etching of the rib, masking of the thin layer of silicon to delimit the parts to be doped P, doping of the parts to be doped P, masking of the thin layer of silicon to delimit the region to be doped N and doping of the region to be doped N.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: August 28, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Jean-Marc Fedeli
  • Patent number: 8242459
    Abstract: The current invention involves a desorption corona beam ionization source/device for analyzing samples under atmospheric pressure without sample pretreatment. It includes a gas source, a gas flow tube, a gas flow heater, a metal tube, a DC power supply and a sample support/holder for placing the samples. A visible corona beam is formed at a sharply pointed tip at the exit of the metal tube when a stream of inert gas flows through the metal tube that is applied with a high DC voltage. The gas is heated for desorbing the analyte from solid samples and the desorbed species are ionized by the energized particles embedded in the corona beam. The ions formed are then transferred through an adjacent inlet into a mass spectrometer or other devices capable of analyzing ions. Visibility of the corona beam in the current invention greatly facilitates pinpointing a sampling area on the analyte and also makes profiling of sample surfaces possible.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: August 14, 2012
    Assignee: Shimadzu Corporation
    Inventors: Wenjian Sun, Xiaohui Yang, Li Ding
  • Publication number: 20120190182
    Abstract: A method and apparatus for implanting a semiconductor substrate with boron clusters. A substrate is implanted with octadecaborane by plasma immersion or ion beam implantation. The substrate surface is then annealed to completely dissociate and activate the boron clusters. The annealing may take place by melting the implanted regions or by a sub-melt annealing process.
    Type: Application
    Filed: April 5, 2012
    Publication date: July 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JIPING LI, Aaron Muir Hunter, Bruce E. Adams, Theodore P. Moffitt, Stephen Moffatt
  • Publication number: 20120190181
    Abstract: Methods and carbon ion precursor compositions for implanting carbon ions generally includes vaporizing and ionizing a gas mixture including carbon oxide and methane gases in an ion source to create a plasma and produce carbon ions. The ionized carbon within the plasma is then extracted to form an ion beam. The ion beam is mass analyzed with a mass analyzer magnet to permit the ionized carbon to pass therethrough and implant into a workpiece.
    Type: Application
    Filed: January 20, 2011
    Publication date: July 26, 2012
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: WILLIAM D. LEE, DANIEL R. TIEGER, TSEH-JEN HSIEH
  • Patent number: 8222128
    Abstract: A method for introducing impurities includes a step for forming an amorphous layer at a surface of a semiconductor substrate, and a step for forming a shallow impurity-introducing layer at the semiconductor substrate which has been made amorphous, and an apparatus used therefore. Particularly, the step for forming the amorphous layer is a step for irradiating plasma to the surface of the semiconductor substrate, and the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: July 17, 2012
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Bunji Mizuno, Cheng-Guo Jin
  • Patent number: 8216922
    Abstract: Plasma doping is performed using a plasma made of a gas containing an impurity which will serve as a dopant. In this case, at least one of plasma generation high-frequency power and biasing high-frequency power is supplied in the form of pulses.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: July 10, 2012
    Assignee: Panasonic Corporation
    Inventors: Shigenori Hayashi, Masafumi Kubota, Yuichiro Sasaki
  • Publication number: 20120171853
    Abstract: A method and apparatus for implanting a semiconductor substrate with boron clusters. A substrate is implanted with octadecaborane by plasma immersion or ion beam implantation. The substrate surface is then annealed to completely dissociate and activate the boron clusters. The annealing may take place by melting the implanted regions or by a sub-melt annealing process.
    Type: Application
    Filed: March 12, 2012
    Publication date: July 5, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jiping Li, Aaron Muir Hunter, Bruce E. Adams, Theodore Moffitt, Stephen Moffatt
  • Patent number: 8196546
    Abstract: Methods and apparatus provide for: a first source of plasma, wherein the plasma includes a first species of ions; a second source of plasma, wherein the plasma includes a second species of ions; selection of the plasma from the first and second sources; and acceleration the first species of ions or the second species of ions toward a semiconductor wafer.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: June 12, 2012
    Assignee: Corning Incorporated
    Inventor: Sarko Cherekdjian
  • Publication number: 20120142174
    Abstract: An ion implantation system and process, in which the performance and lifetime of the ion source of the ion implantation system are enhanced, by utilizing isotopically enriched dopant materials, or by utilizing dopant materials with supplemental gas(es) effective to provide such enhancement.
    Type: Application
    Filed: February 21, 2012
    Publication date: June 7, 2012
    Applicant: Advanced Technology Materials, Inc.
    Inventors: Robert KAIM, Joseph D. SWEENEY, Anthony M. AVILA, Richard S. RAY
  • Patent number: 8193087
    Abstract: An integrated circuit includes a semiconductor substrate, a low-k dielectric layer over the semiconductor substrate, a first opening in the low-k dielectric layer, and a first diffusion barrier layer in the first opening covering the low-k dielectric layer in the first opening, wherein the first diffusion barrier layer has a bottom portion connected to sidewall portions, and wherein the sidewall portions have top surfaces close to a top surface of the low-k dielectric layer. The integrated circuit further includes a conductive line filling the first opening wherein the conductive line has a top surface lower than the top surfaces of the sidewall portions of the diffusion barrier layer, and a metal cap on the conductive line and only within a region directly over the conductive line.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: June 5, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Hsueh Shih, Minghsing Tsai, Chen-Hua Yu, Ming-Shih Yeh
  • Patent number: 8193080
    Abstract: An impurity is introduced into a fin-type semiconductor region (102) formed on a substrate (100) using a plasma doping process, thereby forming an impurity-introduced layer (105). Carbon is introduced into the fin-type semiconductor region (102) using a plasma doping process to overlap at least a part of the impurity-introduced layer (105), thereby forming a carbon-introduced layer.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: June 5, 2012
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Bunji Mizuno
  • Publication number: 20120135586
    Abstract: A method of manufacturing a semiconductor device includes forming silicon line patterns in a semiconductor substrate, forming an insulating layer over the silicon line patterns, forming a conductive pattern between the silicon line patterns, forming a spacer over the substrate, forming an interlayer insulating layer between the silicon line patterns, removing the spacer on one side of the silicon line patterns to expose the conductive pattern, forming a bit line contact open region by removing the interlayer insulating layer, forming a polysilicon pattern to cover the bit line contact open region, and forming a junction region diffused to the silicon line pattern through the bit line contact open region. Thereby, a stacked structure of a titanium layer and a polysilicon layer are stably formed when forming a buried bit line and a bit line contact is formed using diffusion of the polysilicon layer to prevent leakage current.
    Type: Application
    Filed: July 20, 2011
    Publication date: May 31, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Seung Hwan KIM
  • Publication number: 20120129324
    Abstract: Methods and apparatus provide for: a first source of plasma, wherein the plasma includes a first species of ions; a second source of plasma, wherein the plasma includes a second species of ions; selection of the plasma from the first and second sources; and acceleration the first species of ions or the second species of ions toward a semiconductor wafer.
    Type: Application
    Filed: November 19, 2010
    Publication date: May 24, 2012
    Inventor: Sarko Cherekdjian
  • Publication number: 20120129325
    Abstract: A method of ion implantation comprising: providing a plasma within a plasma region of a chamber; positively biasing a first grid plate, wherein the first grid plate comprises a plurality of apertures; negatively biasing a second grid plate, wherein the second grid plate comprises a plurality of apertures; flowing ions from the plasma in the plasma region through the apertures in the positively-biased first grid plate; flowing at least a portion of the ions that flowed through the apertures in the positively-biased first grid plate through the apertures in the negatively-biased second grid plate; and implanting a substrate with at least a portion of the ions that flowed through the apertures in the negatively-biased second grid plate.
    Type: Application
    Filed: January 31, 2012
    Publication date: May 24, 2012
    Applicant: INTEVAC, INC.
    Inventors: Babak Adibi, Moon Chun
  • Patent number: 8183136
    Abstract: Provided are a method of forming an insulating layer and a method of manufacturing a transistor using the method. The method of forming the insulating layer includes forming a preliminary insulating layer including silicon oxide (SiO2) on a silicon (Si)-containing substrate. A reactive gas containing ammonia (NH3) gas is supplied to the preliminary insulating layer. Nitrogen radicals (N*) and hydrogen radicals (H*) are generated from the ammonia gas using plasma. The hydrogen radicals combine with oxygen of the preliminary insulating layer, and the nitrogen radicals combine with the silicon oxide so that an insulating layer including hydroxides (OH) and silicon oxynitride (SiON) can be formed.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: May 22, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seong-Hoon Jeong, Dong-Chan Kim, Yu-Gyun Shin, Soo-Jin Hong, Deok-Hyung Lee
  • Publication number: 20120115317
    Abstract: In a plasma torch unit, a conductor rod having a spiral shape is disposed inside a quartz pipe having a surface coated with boron glass, and a brass block is disposed on the periphery thereof. While a gas is being supplied into a cylindrical chamber, a high-frequency power is supplied to the conductor rod and a plasma is generated in the cylindrical chamber, so that a base material is irradiated with the plasma.
    Type: Application
    Filed: November 8, 2011
    Publication date: May 10, 2012
    Inventors: Tomohiro OKUMURA, Mitsuo Saitoh, Ichiro Nakayama, Taro Kitaoka
  • Publication number: 20120112248
    Abstract: The embodiments of methods and structures are for doping fin structures by plasma doping processes to enable formation of shallow lightly doped source and drain (LDD) regions. The methods involve a two-step plasma doping process. The first step plasma process uses a heavy carrier gas, such as a carrier gas with an atomic weight equal to or greater than about 20 amu, to make the surfaces of fin structures amorphous and to reduce the dependence of doping rate on crystalline orientation. The second step plasma process uses a lighter carrier gas, which is lighter than the carrier gas for the first step plasma process, to drive the dopants deeper into the fin structures. The two-step plasma doping process produces uniform dopant profile beneath the outer surfaces of the fin structures.
    Type: Application
    Filed: November 8, 2010
    Publication date: May 10, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chii-Ming Wu, Yu Lien Huang, Chun Hsiung Tsai
  • Publication number: 20120108042
    Abstract: Some embodiments include methods of forming one or more doped regions in a semiconductor substrate. Plasma doping may be used to form a first dopant to a first depth within the substrate. The first dopant may then be impacted with a second dopant to knock the first dopant to a second depth within the substrate. In some embodiments the first dopant is p-type (such as boron) and the second dopant is neutral type (such as germanium). In some embodiments the second dopant is heavier than the first dopant.
    Type: Application
    Filed: November 3, 2010
    Publication date: May 3, 2012
    Inventors: Jennifer Lequn Liu, Shu Qin, Allen McTeer, Yongjun Jeff Hu
  • Patent number: 8168543
    Abstract: Methods of forming a barrier layer are provided. In one embodiment, the method includes providing a substrate into a physical vapor deposition (PVD) chamber, supplying at least two reactive gases and an inert gas into the PVD chamber, sputtering a source material from a target disposed in the processing chamber in the presence of a plasma formed from the gas mixture, and forming a metal containing dielectric layer on the substrate from the source material. In another embodiment, the method includes providing a substrate into a PVD chamber, supplying a reactive gas the PVD chamber, sputtering a source material from a target disposed in the PVD chamber in the presence of a plasma formed from the reactive gas, forming a metal containing dielectric layer on the substrate from the source material, and post treating the metal containing layer in presence of species generated from a remote plasma chamber.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: May 1, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Keyvan Kashefizadeh, Ashish Subhash Bodke, Winsor Lam, Yiochiro Tanaka, Wonwoo Kim
  • Patent number: 8168548
    Abstract: A method of forming a semiconductor device includes providing a substrate in a vacuum processing tool, the substrate having a strained Ge-containing layer on the substrate and a Si-containing layer on the strained Ge-containing layer, maintaining the substrate at a temperature less than 700° C., and exposing the Si-containing layer to oxidation radicals in an UV-assisted oxidation process to form a Si-containing dielectric layer while minimizing oxidation and strain relaxation in the underlying strained Ge-containing layer. A semiconductor device containing a substrate, a strained Ge-containing layer on the substrate, and a Si-containing dielectric layer formed on the strained Ge-containing layer is provided. The semiconductor device can further contain a gate electrode layer on the Si-containing dielectric layer or a high-k layer on the Si-containing dielectric layer and a gate electrode layer on the high-k layer.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Gert Leusink
  • Patent number: 8163630
    Abstract: A method of manufacturing a semiconductor device by thinning a substrate by grinding, and performing ion implantation. In a diode in which a P anode layer and an anode electrode are formed at a side of a right face of an N? drift layer, and an N+ cathode layer and a cathode electrode are formed at a side of a back face of the N? drift layer, an N cathode buffer layer is formed thick compared with the N+-type cathode layer between the N?-type drift layer and the N+ cathode layer, the buffer layer being high in concentration compared with the N? drift layer, and low compared with the N+ cathode layer. When a reverse bias voltage is applied, a depletion layer is stopped in the middle of the N cathode buffer layer, and thus prevented from reaching the N+ cathode layer, so that the leakage current is suppressed.
    Type: Grant
    Filed: April 13, 2010
    Date of Patent: April 24, 2012
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Michio Nemoto
  • Patent number: 8138070
    Abstract: A method of forming a multi-doped junction is disclosed. The method includes providing a first substrate and a second substrate. The method also includes depositing a first ink on a first surface of each of the first substrate and the second substrate, the first ink containing a first set of nanoparticles and a first set of solvents, the first set of nanoparticles containing a first concentration of a first dopant. The method further includes depositing a second ink on a second surface of each of the first substrate and the second substrate, the second ink containing a second set of nanoparticles and a second set of solvents, the second set of nanoparticles containing a second concentration of a second dopant. The method also includes placing the first substrate and the second substrate in a back to back configuration; and heating the first substrate and the second substrate in a first drive-in ambient to a first temperature and for a first time period.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: March 20, 2012
    Assignee: Innovalight, Inc.
    Inventors: Maxim Kelman, Michael Burrows, Dmitry Poplavskyy, Giuseppe Scardera, Daniel Kray, Elena Rogojina
  • Patent number: 8138071
    Abstract: An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B2F4. Synthesis methods for such compounds, and ion implantation methods using such compounds, are described, as well as storage and dispensing vessels in which the isotopically-enriched, boron-containing compound is advantageously contained for subsequent dispensing use.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: March 20, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Robert Kaim, Joseph D. Sweeney, Oleg Byl, Sharad N. Yedave, Edward E. Jones, Peng Zou, Ying Tang, Barry Lewis Chambers, Richard S. Ray
  • Publication number: 20120064704
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of bodies isolated by trenches by etching a substrate, forming a buried bit line gap-filling a portion of each trench, forming an etch stop layer on an upper surface of the buried bit line; and forming a word line extended in a direction crossing the buried bit line over the etch stop layer.
    Type: Application
    Filed: April 5, 2011
    Publication date: March 15, 2012
    Inventor: Tae-Kyun KIM
  • Patent number: 8129261
    Abstract: Methods for implanting ions into a substrate by a plasma immersion ion implanting process are provided. In one embodiment, a method for implanting ions into a substrate includes providing a substrate into a processing chamber, the substrate comprising substrate surface having one or more features formed therein and each feature having one or more horizontal surfaces and one or more vertical surfaces, generating a plasma from a gas mixture including a reacting gas adapted to produce ions, depositing a material layer on the substrate surface and on at least one horizontal surface of the substrate feature, implanting ions from the plasma into the substrate by an isotropic process into at least one horizontal surface and into at least one vertical surface, and etching the material layer on the substrate surface and the at least one horizontal surface by an anisotropic process.
    Type: Grant
    Filed: October 27, 2009
    Date of Patent: March 6, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Peter I. Porshnev, Matthew D. Scotney-Castle, Majeed A. Foad
  • Patent number: 8129202
    Abstract: It is intended to provide a plasma doping method and apparatus which are superior in the controllability of the concentration of an impurity that is introduced into a surface layer of a sample. A prescribed gas is introduced into a vacuum container 1 from a gas supply apparatus 2 while being exhausted by a turbomolecular pump 3 as an exhaust apparatus. The pressure in the vacuum container 1 is kept at a prescribed value by a pressure regulating valve 4. High-frequency electric power of 13.56 MHz is supplied from a high-frequency power source 5 to a coil 8 disposed close to a dielectric window 7 which is opposed to a sample electrode 6, whereby induction-coupled plasma is generated in the vacuum container 1. A high-frequency power source 10 for supplying high-frequency electric power to the sample electrode 6 is provided. Every time a prescribed number of samples have been processed, a dummy sample is subjected to plasma doping and then to heating.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: March 6, 2012
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Yuichiro Sasaki, Katsumi Okashita, Hiroyuki Ito, Bunji Mizuno, Cheng-Guo Jin, Ichiro Nakayama
  • Patent number: 8124507
    Abstract: A fin-type semiconductor region (103) is formed on a substrate (101), and then a resist pattern (105) is formed on the substrate (101). An impurity is implanted into the fin-type semiconductor region (103) by a plasma doping process using the resist pattern (105) as a mask, and then at least a side of the fin-type semiconductor region (103) is covered with a protective film (107). Thereafter, the resist pattern (105) is removed by cleaning using a chemical solution, and then the impurity implanted into the fin-type semiconductor region (103) is activated by heat treatment.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: February 28, 2012
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Bunji Mizuno
  • Patent number: 8119208
    Abstract: There is disclosed an apparatus and method for focused electric field enhanced plasma-based ion implantation. The apparatus includes an implantation chamber, a vacuum pump for maintaining the pressure in the implantation chamber at a desired level, a sample holder, means for applying a negative potential to the sample holder, and means for supplying a gaseous or vaporized implantation material. The supplying means takes the form of a feed conduit having an exit opening located in the implantation chamber above the sample holder, and when a negative potential is applied to the sample holder the exit opening of the feed conduit is maintained at a potential that is positive relative to the sample holder.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: February 21, 2012
    Assignee: City University of Hong Kong
    Inventors: Paul K. Chu, Liuhe Li
  • Patent number: 8119485
    Abstract: Disclosed herein is a fabrication method of a semiconductor device to order to increase an operation liability of the semiconductor device. A method for fabricating a semiconductor device comprises forming a recess in a semiconductor substrate, forming a word line in a lower part of the recess, oxidizing a top portion of the word line, and depositing an insulating material in a remained part of the recess.
    Type: Grant
    Filed: June 26, 2009
    Date of Patent: February 21, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventor: Se hyun Kim
  • Patent number: 8105925
    Abstract: An improved insulated gate field effect device (60) is obtained by providing a substrate (20) desirably comprising a III-V semiconductor, having a further semiconductor layer (22) on the substrate (20) adapted to contain the channel (230) of the device (60) between spaced apart source-drain electrodes (421, 422) formed on the semiconductor layer (22). A dielectric layer (24) is formed on the semiconductor layer (22). A sealing layer (28) is formed on the dielectric layer (24) and exposed to an oxygen plasma (36). A gate electrode (482) is formed on the dielectric layer (24) between the source-drain electrodes (421, 422). The dielectric layer (24) preferably comprises gallium-oxide (25) and/or gadolinium-gallium oxide (26, 27), and the oxygen plasma (36) is preferably an inductively coupled plasma. A further sealing layer (44) of, for example, silicon nitride is desirably provided above the sealing layer (28).
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: January 31, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jonathan K. Abrokwah, Ravindranath Droopad, Matthias Passlack
  • Patent number: 8105936
    Abstract: Solutions for forming dielectric interconnect structures are provided. Specifically, the present invention provides methods of forming a dielectric interconnect structure having a noble metal layer that is formed directly on a modified dielectric surface. In a typical embodiment, the modified dielectric surface is created by treating an exposed dielectric layer of the interconnect structure with a gaseous ion plasma. Under the present invention, the noble metal layer could be formed directly on an optional glue layer that is maintained only on vertical surfaces of any trench or via formed in the exposed dielectric layer. In addition, the noble metal layer may be provided along an interface between the via and an internal metal layer.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: January 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Chih-Chao Yang, Louis C. Hsu, Rajiv V. Joshi
  • Patent number: 8105926
    Abstract: A semiconductor region having an upper surface and a side surface is formed on a substrate. A first impurity region is formed in an upper portion of the semiconductor region. A second impurity region is formed in a side portion of the semiconductor region. The resistivity of the second impurity region is substantially equal to or smaller than that of the first impurity region.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: January 31, 2012
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Keiichi Nakamoto, Hiroyuki Ito, Bunji Mizuno
  • Patent number: 8101510
    Abstract: A plasma processing apparatus includes a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate a plasma in the process chamber having a plasma sheath adjacent to the front surface of the workpiece, and an insulating modifier. The insulating modifier has a gap, and a gap plane, where the gap plane is defined by portions of the insulating modifier closest to the sheath and proximate the gap. A gap angle is defined as the angle between the gap plane and a plane defined by the front surface of the workpiece. Additionally, a method of having ions strike a workpiece is disclosed, where the range of incident angles of the ions striking the workpiece includes a center angle and an angular distribution, and where the use of the insulating modifier creates a center angle that is not perpendicular to the workpiece.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: January 24, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Timothy Miller, Svetlana Radovanov, Anthony Renau, Vikram Singh
  • Patent number: 8101490
    Abstract: A method for manufacturing a semiconductor device includes: irradiating a growth substrate with laser light to focus the laser light into a prescribed position inside a crystal for a semiconductor device or inside the growth substrate, the crystal for the semiconductor device being formed on a first major surface of the growth substrate; moving the laser light in a direction parallel to the first major surface; and peeling off a thin layer including the crystal for the semiconductor device from the growth substrate, a wavelength of the laser light being longer than an absorption end wavelength of the crystal for the semiconductor device or the growth substrate, the laser light being irradiated inside a crystal for the semiconductor device or inside the growth substrate.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: January 24, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masanobu Ando, Toru Gotoda, Toru Kita
  • Publication number: 20120015504
    Abstract: A semiconductor device includes: a first semiconductor region formed on a substrate and having an upper surface and a side surface; a first impurity region of a first conductivity type formed in an upper portion of the first semiconductor region; a second impurity region of a first conductivity type formed in a side portion of the first semiconductor region; and a gate insulating film formed so as to cover at least a side surface and an upper corner of a predetermined portion of the first semiconductor region. A radius of curvature r? of an upper corner of a portion of the first semiconductor region located outside the gate insulating film is greater than a radius of curvature r of an upper corner of a portion of the first semiconductor region located under the gate insulating film and is less than or equal to 2r.
    Type: Application
    Filed: September 26, 2011
    Publication date: January 19, 2012
    Applicant: Panasonic Corporation
    Inventors: Yuichiro SASAKI, Katsumi Okashita, Keiichi Nakamoto, Hisataka Kanada, Bunji Mizuno
  • Publication number: 20120015507
    Abstract: A plasma doping apparatus for adding an impurity to a semiconductor substrate includes a chamber, a gas supply unit configured for supplying gas to the chamber, and a plasma source by which to cause the chamber to generate plasma of the supplied gas. The mixed gas containing material gas containing an impurity element to be added to the semiconductor substrate, hydrogen gas, and diluent gas for diluting the material gas is supplied to the chamber.
    Type: Application
    Filed: July 15, 2011
    Publication date: January 19, 2012
    Applicants: SEN CORPORATION, SUMITOMO HEAVY INDUSTRIES, LTD.
    Inventors: Masaru Tanaka, Masashi Kuriyama, Hiroki Murooka
  • Patent number: 8084312
    Abstract: A transistor is fabricated upon a semiconductor substrate, where the yield strength or elasticity of the substrate is enhanced or otherwise adapted. A strain inducing layer is formed over the transistor to apply a strain thereto to alter transistor operating characteristics, and more particularly to enhance the mobility of carriers within the transistor. Enhancing carrier mobility allows transistor dimensions to be reduced while also allowing the transistor to operate as desired. However, high strain and temperature associated with fabricating the transistor result in deleterious plastic deformation. The yield strength of the silicon substrate is therefore adapted by incorporating nitrogen into the substrate, and more particularly into source/drain extension regions and/or source/drain regions of the transistor. The nitrogen can be readily incorporated during transistor fabrication by adding it as part of source/drain extension region formation and/or source/drain region formation.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: December 27, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Srinivasan Chakravarthi, P R Chidambaram, Rajesh Khamankar, Haowen Bu, Douglas T. Grider
  • Publication number: 20110300696
    Abstract: Embodiments of this doping method may be used to improve junction formation. An implant species, such as helium or another noble gas, is implanted into a workpiece to a first depth. A dopant is deposited on a surface of the workpiece. During an anneal, the dopant diffuses to the first depth. The noble gas ions may at least partially amorphize the workpiece during the implant. The workpiece may be planar or non-planar. The implant and deposition may occur in a system without breaking vacuum.
    Type: Application
    Filed: June 2, 2010
    Publication date: December 8, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Christopher R. HATEM, Ludovic GODET