Plural Dopants Simultaneously In Plural Regions Patents (Class 438/548)
  • Patent number: 11721783
    Abstract: Provided is a solar cell and a method for manufacturing the same, the method includes: forming a doped layer on a surface of a semiconductor substrate, the doped layer having a first doping concentration of a doping element in the doped layer; depositing, on a surface of the doped layer, a doped amorphous silicon layer including the doping element; selectively removing at least one region of the doped amorphous silicon layer; performing annealing treatment, for the semiconductor substrate to form a lightly doped region having the first doping concentration and a heavily doped region having a second doping concentration in the doped layer, the second doping concentration is greater than the first doping concentration; and forming a solar cell by post-processing the annealed semiconductor substrate. The solar cell and the method for manufacturing the same simplify the manufacturing process and improve conversion efficiency of the solar cell.
    Type: Grant
    Filed: January 19, 2022
    Date of Patent: August 8, 2023
    Assignee: Shangrao Jinko solar Technology Development Co., LTD
    Inventors: Jie Yang, Zhao Wang, Peiting Zheng, Xinyu Zhang, Hao Jin
  • Patent number: 11637016
    Abstract: Methods and systems for double-sided semiconductor device fabrication. Devices having multiple leads on each surface can be fabricated using a high-temperature-resistant handle wafer and a medium-temperature-resistant handle wafer. Dopants can be introduced on both sides shortly before a single long high-temperature diffusion step diffuses all dopants to approximately equal depths on both sides. All high-temperature processing occurs with no handle wafer or with a high-temperature handle wafer attached. Once a medium-temperature handle wafer is attached, no high-temperature processing steps occur. High temperatures can be considered to be those which can result in damage to the device in the presence of aluminum-based metallizations.
    Type: Grant
    Filed: October 3, 2018
    Date of Patent: April 25, 2023
    Assignee: IDEAL POWER INC.
    Inventors: Richard A. Blanchard, William C. Alexander
  • Patent number: 9985095
    Abstract: An integrated circuit containing an extended drain MOS transistor which has a drift layer, an upper RESURF layer over and contacting an upper surface of the drift layer, and a buried drain extension below the drift layer which is electrically connected to the drift layer at the drain end and separated from the drift layer at the channel end. A lower RESURF layer may be formed between the drift layer and the buried drain extension at the channel end. Any of the upper RESURF layer, the drift layer, the lower RESURF layer and the buried drain extension may have a graded doping density from the drain end to the channel end. A process of forming an integrated circuit containing an extended drain MOS transistor which has the drift layer, the upper RESURF layer, and the buried drain extension.
    Type: Grant
    Filed: June 15, 2016
    Date of Patent: May 29, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Marie Denison, Philip L. Hower, Sameer Pendharkar
  • Patent number: 9397211
    Abstract: An integrated circuit containing an extended drain MOS transistor which has a drift layer, an upper RESURF layer over and contacting an upper surface of the drift layer, and a buried drain extension below the drift layer which is electrically connected to the drift layer at the drain end and separated from the drift layer at the channel end. A lower RESURF layer may be formed between the drift layer and the buried drain extension at the channel end. Any of the upper RESURF layer, the drift layer, the lower RESURF layer and the buried drain extension may have a graded doping density from the drain end to the channel end. A process of forming an integrated circuit containing an extended drain MOS transistor which has the drift layer, the upper RESURF layer, and the buried drain extension.
    Type: Grant
    Filed: December 3, 2014
    Date of Patent: July 19, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Marie Denison, Philip L. Hower, Sameer Pendharkar
  • Patent number: 9012314
    Abstract: A method for forming doping regions is disclosed, including providing a substrate, forming a first-type doping material on the substrate and forming a second-type doping material on the substrate, wherein the first-type doping material is separated from the second-type doping material by a gap; forming a covering layer to cover the substrate, the first-type doping material and the second-type doping material; and performing a thermal diffusion process to diffuse the first-type doping material and the second-type doping material into the substrate.
    Type: Grant
    Filed: December 11, 2012
    Date of Patent: April 21, 2015
    Assignee: Industrial Technology Research Institute
    Inventors: Wen-Ching Sun, Sheng-Min Yu, Tai-Jui Wang, Tzer-Shen Lin
  • Patent number: 8975171
    Abstract: Provided are a method of forming a dielectric and a method of fabricating a semiconductor device. The method includes forming a preliminary dielectric including Hf, O and an “A” element on an underlying layer. The preliminary dielectric is formed in an amorphous structure or a mixed structure of an amorphous structure and an “M” crystalline structure. The “A” element about 1 at % to about 5 at % of the total content of the “A” element and Hf in the preliminary dielectric. Through a nitridation process, nitrogen is added to the preliminary dielectric. The nitrogen-containing dielectric is changed into a dielectric having a “T” crystalline structure through a phase transition process, wherein the “T” crystalline structure is different from the “M” crystalline structure. An upper layer is formed on the “T” crystalline dielectric.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: March 10, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ha-Jin Lim, Weon-Hong Kim
  • Patent number: 8927313
    Abstract: In a method for manufacturing a solar cell where the solar cell includes a dopant layer having a first portion of a first resistance and a second portion of a second resistance lower than the first resistance, the method includes ion-implanting a dopant into the semiconductor substrate to form the dopant layer; firstly activating by heating the second portion and activating the dopant at the second portion; and secondly activating by heating the first portion and the second portion and activating the dopant at the first portion and the second portion.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: January 6, 2015
    Assignee: LG Electronics Inc.
    Inventors: Kyoungsoo Lee, Seongeun Lee
  • Patent number: 8912082
    Abstract: Methods to form complementary implant regions in a workpiece are disclosed. A mask may be aligned with respect to implanted or doped regions on the workpiece. The mask also may be aligned with respect to surface modifications on the workpiece, such as deposits or etched regions. A masking material also may be deposited on the implanted regions using the mask. The workpiece may be a solar cell.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 16, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Nicholas P. T. Bateman, William T. Weaver, Paul Sullivan, John W. Graff
  • Patent number: 8890291
    Abstract: A method of manufacturing a silicon wafer provides a silicon wafer which can reduce the precipitation of oxygen to prevent a wafer deformation from being generated and can prevent a slip extension due to boat scratches and transfer scratches serving as a reason for a decrease in wafer strength, even when the wafer is provided to a rapid temperature-rising-and-falling thermal treatment process.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: November 18, 2014
    Assignee: Sumco Corporation
    Inventors: Toshiaki Ono, Wataru Ito, Jun Fujise
  • Publication number: 20140308805
    Abstract: A method is provided for the simultaneous diffusion of dopants of different types on respective sides of a solar cell wafer in a single stage process. The dopants are applied to respective sides of the wafer in wet chemical form preferably by pad printing. The doping materials can be applied to the entire wafer surface or effective area thereof, or can be applied in a pattern to suit the intended solar cell configuration. In a typical embodiment, the dopants are boron and phosphorus.
    Type: Application
    Filed: April 12, 2013
    Publication date: October 16, 2014
    Inventors: Paul J. Richter, Frank Bottari
  • Patent number: 8796124
    Abstract: The present disclosure provides a method to dope fins of a semiconductor device. The method includes forming a first doping film on a first fin and forming a second doping film on the second fin. The first and second doping films include a different dopant type (e.g., n-type and p-type). An anneal process is performed which drives a first dopant from the first doping film into the first fin and drives a second dopant from the second doping film into the second fin. In an embodiment, the first and second dopants are driven into the sidewall of the respective fin.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: August 5, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 8778787
    Abstract: Methods of forming contacts for solar cells are described. In one embodiment, a method includes forming a silicon layer above a substrate, forming and patterning a solid-state p-type dopant source on the silicon layer, forming an n-type dopant source layer over exposed regions of the silicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped silicon regions among a plurality of p-type doped silicon regions.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: July 15, 2014
    Assignee: SunPower Corporation
    Inventor: Jane Manning
  • Patent number: 8772878
    Abstract: A silicon/germanium material and a silicon/carbon material may be provided in transistors of different conductivity type on the basis of an appropriate manufacturing regime without unduly contributing to overall process complexity. Furthermore, appropriate implantation species may be provided through exposed surface areas of the cavities prior to forming the corresponding strained semiconductor alloy, thereby additionally contributing to enhanced overall transistor performance. In other embodiments a silicon/carbon material may be formed in a P-channel transistor and an N-channel transistor, while the corresponding tensile strain component may be overcompensated for by means of a stress memorization technique in the P-channel transistor. Thus, the advantageous effects of the carbon species, such as enhancing overall dopant profile of P-channel transistors, may be combined with an efficient strain component while enhanced overall process uniformity may also be accomplished.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: July 8, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jan Hoentschel, Vassilios Papageorgiou, Belinda Hannon
  • Patent number: 8741720
    Abstract: A semiconductor device and method to form a semiconductor device is described. The semiconductor includes a gate stack disposed on a substrate. Tip regions are disposed in the substrate on either side of the gate stack. Halo regions are disposed in the substrate adjacent the tip regions. A threshold voltage implant region is disposed in the substrate directly below the gate stack. The concentration of dopant impurity atoms of a particular conductivity type is approximately the same in both the threshold voltage implant region as in the halo regions. The method includes a dopant impurity implant technique having sufficient strength to penetrate a gate stack.
    Type: Grant
    Filed: April 5, 2013
    Date of Patent: June 3, 2014
    Assignee: Intel Corporation
    Inventors: Giuseppe Curello, Ian R. Post, Nick Lindert, Walid M. Hafez, Chia-Hong Jan, Mark T. Bohr
  • Patent number: 8728922
    Abstract: A method for producing monocrystalline n-silicon solar cells having a rear-side passivated p+ emitter and rear-side, spatially separate heavily doped n++-base regions near the surface, as well as an interdigitated rear-side contact finger structure, which is in conductive connection with the p+-emitter regions and the n++-base regions. An aluminum thin layer or an aluminum-containing thin layer is first deposited on the rear side of the n-silicon wafer, and the thin layer is subsequently structured so that openings are obtained in the region of the future base contacts. In a further process step, the aluminum is then diffused into the n-silicon wafer in order to form a structured emitter layer.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: May 20, 2014
    Assignee: SolarWorld Industries-Thueringen GmbH
    Inventors: Hans-Joachim Krokoszinski, Jan Lossen
  • Patent number: 8703596
    Abstract: The semiconductor device includes a silicon substrate having a channel region, a gate electrode formed over the channel region, buried semiconductor regions formed in a surface of the silicon substrate on both sides of the gate electrode, for applying to the surface of the silicon substrate a first stress in a first direction parallel to the surface of the silicon substrate, and stressor films formed on the silicon substrate between the channel region and the buried semiconductor regions in contact with the silicon substrate, for applying to the silicon substrate a second stress in a second direction which is opposite to the first direction.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: April 22, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naoyoshi Tamura
  • Publication number: 20140087549
    Abstract: A method for forming doping regions is disclosed, including providing a substrate, forming a first-type doping material on the substrate and forming a second-type doping material on the substrate, wherein the first-type doping material is separated from the second-type doping material by a gap; forming a covering layer to cover the substrate, the first-type doping material and the second-type doping material; and performing a thermal diffusion process to diffuse the first-type doping material and the second-type doping material into the substrate.
    Type: Application
    Filed: December 11, 2012
    Publication date: March 27, 2014
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Wen-Ching SUN, Sheng-Min YU, Tai-Jui WANG, Tzer-Shen LIN
  • Patent number: 8502284
    Abstract: The semiconductor device includes a silicon substrate having a channel region, a gate electrode formed over the channel region, buried semiconductor regions formed in a surface of the silicon substrate on both sides of the gate electrode, for applying to the surface of the silicon substrate a first stress in a first direction parallel to the surface of the silicon substrate, and stressor films formed on the silicon substrate between the channel region and the buried semiconductor regions in contact with the silicon substrate, for applying to the silicon substrate a second stress in a second direction which is opposite to the first direction.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 6, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Naoyoshi Tamura
  • Patent number: 8492253
    Abstract: Methods of forming contacts for back-contact solar cells are described. In one embodiment, a method includes forming a thin dielectric layer on a substrate, forming a polysilicon layer on the thin dielectric layer, forming and patterning a solid-state p-type dopant source on the polysilicon layer, forming an n-type dopant source layer over exposed regions of the polysilicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped polysilicon regions among a plurality of p-type doped polysilicon regions.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: July 23, 2013
    Assignee: SunPower Corporation
    Inventor: Jane Manning
  • Patent number: 8471307
    Abstract: An integrated circuit containing a PMOS transistor with p-channel source/drain (PSD) regions which include a three layer PSD stack containing Si—Ge, carbon and boron. The first PSD layer is Si—Ge and includes carbon at a density between 5×1019 and 2×1020 atoms/cm3. The second PSD layer is Si—Ge and includes carbon at a density between 5×1019 atoms/cm3 and 2×1020 atoms/cm3 and boron at a density above 5×1019 atoms/cm3. The third PSD layer is silicon or Si—Ge, includes boron at a density above 5×1019 atoms/cm3 and is substantially free of carbon. After formation of the three layer epitaxial stack, the first PSD layer has a boron density less than 10 percent of the boron density in the second PSD layer. A process for forming an integrated circuit containing a PMOS transistor with a three layer PSD stack in PSD recesses.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: June 25, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Rajesh B. Khamankar, Haowen Bu, Douglas Tad Grider
  • Publication number: 20130102137
    Abstract: The present disclosure provides a method to dope fins of a semiconductor device. The method includes forming a first doping film on a first fin and forming a second doping film on the second fin. The first and second doping films include a different dopant type (e.g., n-type and p-type). An anneal process is performed which drives a first dopant from the first doping film into the first fin and drives a second dopant from the second doping film into the second fin. In an embodiment, the first and second dopants are driven into the sidewall of the respective fin.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventor: Pei-Ren Jeng
  • Patent number: 8420517
    Abstract: A method of forming a multi-doped junction on a substrate is disclosed. The method includes providing the substrate doped with boron atoms, the substrate comprising a front substrate surface. The method further includes depositing an ink on the front substrate surface in a ink pattern, the ink comprising a set of silicon-containing particles and a set of solvents. The method also includes heating the substrate in a baking ambient to a first temperature and for a first time period in order to create a densified film ink pattern.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: April 16, 2013
    Assignee: Innovalight, Inc.
    Inventors: Giuseppe Scardera, Shihai Kan, Maxim Kelman, Dmitry Poplavskyy
  • Publication number: 20120153438
    Abstract: Certain embodiments combine the use of two or more noble metal impurities (e.g., gold, platinum, palladium, iridium, etc.) to suppress the lifetime of power semiconductors such as diodes. The noble metals may be applied using various methods including, for example, the application of thin films from a liquid suspension of the noble metals (e.g., gold and platinum) and/or alloys thereof onto the wafer and/or the coating the wafer with a layer of the noble metals (e.g., gold and platinum) from high vacuum metal deposition by electron beam or sputtering. The application and drive of the impurities may be simultaneous or sequential.
    Type: Application
    Filed: June 27, 2011
    Publication date: June 21, 2012
    Applicant: SOLID STATE DEVICES, INC.
    Inventor: Allan Harrison
  • Publication number: 20120129327
    Abstract: Provided is a method that can include forming a gate dielectric layer, a first diffusion layer, and a hard mask layer on a substrate defined to include first and second spaced apart regions, forming a photoresist pattern on the hard mask layer in the first region and exposing the hard mask layer on the second region, removing the exposed hard mask layer on the second region and the first diffusion layer on the second region to expose the gate dielectric layer on the second region, removing the photoresist pattern, forming a second diffusion layer on uppermost surfaces of the first and second regions, and performing a heat treatment process to diffuse a first diffusion material included in the first diffusion layer and a second diffusion material included in the second diffusion layer.
    Type: Application
    Filed: November 22, 2010
    Publication date: May 24, 2012
    Inventor: Jong-Ho Lee
  • Publication number: 20120052665
    Abstract: Disclosed are methods of forming multi-doped junctions, which utilize a nanoparticle ink to form an ink pattern on a surface of a substrate. From the ink pattern, a densified film ink pattern can be formed. The disclosed methods may allow in situ controlling of dopant diffusion profiles.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 1, 2012
    Inventors: Giuseppe Scardera, Dmitry Poplavskyy, Michael Burrows, Sunil Shah
  • Patent number: 8076778
    Abstract: A semiconductor device and related method for fabricating the same include providing a stacked structure including an insulating base layer and lower and upper barrier layers with a conductive layer in between, etching the stacked structure to provide a plurality of conductive columns that each extend from the lower barrier layer, each of the conductive columns having an overlying upper barrier layer cap formed from the etched upper barrier layer, wherein the lower barrier layer is partially etched to provide a land region between each of the conductive lines, forming a liner layer over the etched stacked structure exposing the land region, and etching the liner layer and removing the exposed land region to form a plurality of conductive lines.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: December 13, 2011
    Assignee: Macronix International Co., Ltd.
    Inventors: Kuo Liang Wei, Hsu Sheng Yu, Hong-Ji Lee
  • Patent number: 8053343
    Abstract: A method for forming a selective emitter of a solar cell and a diffusion apparatus for forming the same are provided. The method includes texturing a surface of a silicon substrate by etching the silicon substrate, coating an impurity solution on the surface of the silicon substrate, injecting a first thermal energy into the whole surface of the silicon substrate, and, while the first thermal energy is injected into the whole surface of the silicon substrate, injecting a second thermal energy by irradiating a laser beam into a partial region of the surface of the silicon substrate.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: November 8, 2011
    Assignee: SNT. Co., Ltd.
    Inventors: Yusung Huh, Seungil Park, Mangeun Lee
  • Patent number: 7964485
    Abstract: A method for forming a doped region of a semiconductor device includes masking a portion of a substrate with a mask. The mask is configured to create a graded doping profile within the doped region. The method also includes performing an implant using the mask to create doped areas and undoped areas in the substrate. The method further includes diffusing the doped areas to create the graded doping profile in the doped region. The mask could include a first region having openings distributed throughout a photo-resist material, where the openings vary in size and spacing. The mask could also include a second region having blocks of photo-resist material distributed throughout an open region, where the photo-resist blocks vary in size and spacing. Diffusing the doped areas could include applying a high temperature anneal to smooth the doped and undoped areas to produce a linearly graded doping profile.
    Type: Grant
    Filed: October 23, 2009
    Date of Patent: June 21, 2011
    Assignee: National Semiconductor Corporation
    Inventors: William French, Erika Mazotti, Yuri Mirgorodski
  • Patent number: 7951696
    Abstract: Methods for simultaneously forming doped regions of opposite conductivity using non-contact printing processes are provided. In one exemplary embodiment, a method comprises the steps of depositing a first liquid dopant comprising first conductivity-determining type dopant elements overlying a first region of a semiconductor material and depositing a second liquid dopant comprising second conductivity-determining type dopant elements overlying a second region of the semiconductor material. The first conductivity-determining type dopant elements and the second conductivity-determining type dopant elements are of opposite conductivity. At least a portion of the first conductivity-determining type dopant elements and at least a portion of the second conductivity-determining type dopant elements are simultaneously diffused into the first region and into the second region, respectively.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: May 31, 2011
    Assignee: Honeywell International Inc.
    Inventors: Roger Yu-Kwan Leung, Anil Bhanap, Zhe Ding, Nicole Rutherford, Wenya Fan
  • Patent number: 7943468
    Abstract: A semiconductor device and method to form a semiconductor device is described. The semiconductor includes a gate stack disposed on a substrate. Tip regions are disposed in the substrate on either side of the gate stack. Halo regions are disposed in the substrate adjacent the tip regions. A threshold voltage implant region is disposed in the substrate directly below the gate stack. The concentration of dopant impurity atoms of a particular conductivity type is approximately the same in both the threshold voltage implant region as in the halo regions. The method includes a dopant impurity implant technique having sufficient strength to penetrate a gate stack.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: May 17, 2011
    Assignee: Intel Corporation
    Inventors: Giuseppe Curello, Ian R. Post, Nick Lindert, Walid M. Hafez, Chia-Hong Jan, Mark T. Bohr
  • Patent number: 7910466
    Abstract: A high-voltage semiconductor device and a method for making the same are provided. A high-voltage semiconductor device and a low-voltage semiconductor device are formed in a single substrate, a photolithography process that is required to form a high-voltage well region is omitted, and the well region of the high-voltage semiconductor is formed together with the well region of the low-voltage semiconductor device formed in another photolithography process.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: March 22, 2011
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Choul Joo Ko
  • Patent number: 7816155
    Abstract: A method for mounting a semiconductor device onto a composite substrate, including a submount and a heat sink, is described. According to one aspect of the invention, the materials for the submount and the heat sink are chosen so that the value of coefficient of thermal expansion of the semiconductor device is in between the values of coefficients of thermal expansion of the materials of the submount and the heat sink, the thickness of the submount being chosen so as to equalize thermal expansion of the semiconductor device to that of the surface of the submount the device is mounted on. According to another aspect of the invention, the semiconductor device, the submount, and the heat sink are soldered into a stack at a single step of heating, which facilitates reduction of residual post-soldering stresses.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: October 19, 2010
    Assignee: JDS Uniphase Corporation
    Inventors: Andre Wong, Sukbhir Bajwa
  • Patent number: 7790589
    Abstract: A method of fabricating high-voltage semiconductor devices, the semiconductor devices and a mask for implanting dopants in a semiconductor are described.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: September 7, 2010
    Assignee: NXP B.V.
    Inventors: Paulus J. T. Eggenkamp, Priscilla W. M. Boos, Maarten Jacobus Swanenberg, Rob Van Dalen, Anco Heringa, Adrianus Willem Ludikhuize
  • Publication number: 20100167511
    Abstract: Method for simultaneously forming doped regions having different conductivity-determining type elements profiles are provided. In one exemplary embodiment, a method comprises the steps of diffusing first conductivity-determining type elements into a first region of a semiconductor material from a first dopant to form a doped first region. Second conductivity-determining type elements are simultaneously diffused into a second region of the semiconductor material from a second dopant to form a doped second region. The first conductivity-determining type elements are of the same conductivity-determining type as the second conductivity-determining type elements. The doped first region has a dopant profile that is different from a dopant profile of the doped second region.
    Type: Application
    Filed: December 29, 2008
    Publication date: July 1, 2010
    Applicant: Honeywell International Inc.
    Inventors: Roger Yu-Kwan Leung, Nicole Rutherford, Anil Bhanap
  • Patent number: 7696037
    Abstract: A method for forming semiconductor transistor. The method comprises providing a structure including (a) a semiconductor region, and (b) first and second dopant source regions on and in direct physical contact with the semiconductor region, wherein each region of the first and second dopant source regions comprises a dielectric material which contains dopants; causing the dopants to diffuse from the first and second dopant source regions into the semiconductor region so as to form first and second source/drain extension regions, respectively, wherein the first and second source/drain extension regions define a channel region disposed between; forming a gate dielectric region on a channel region; and forming a gate region on the gate dielectric region, wherein the gate dielectric region electrically insulates the gate region from the channel region.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corporation
    Inventor: Anthony C. Speranza
  • Publication number: 20100081264
    Abstract: Methods for simultaneously forming doped regions of opposite conductivity using non-contact printing processes are provided. In one exemplary embodiment, a method comprises the steps of depositing a first liquid dopant comprising first conductivity-determining type dopant elements overlying a first region of a semiconductor material and depositing a second liquid dopant comprising second conductivity-determining type dopant elements overlying a second region of the semiconductor material. The first conductivity-determining type dopant elements and the second conductivity-determining type dopant elements are of opposite conductivity. At least a portion of the first conductivity-determining type dopant elements and at least a portion of the second conductivity-determining type dopant elements are simultaneously diffused into the first region and into the second region, respectively.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Applicant: Honeywell International Inc.
    Inventors: Roger Yu-Kwan Leung, Anil Bhanap, Zhe Ding, Nicole Rutherford, Wenya Fan
  • Patent number: 7670937
    Abstract: Method for producing doped regions on the rear face of a photovoltaic cell. A doping paste with a first type of conductivity is deposited on a rear face of a semiconductor-based substrate according to a pattern consistent with the desired distribution of regions doped with the first type of conductivity. Then, an oxide layer is deposited at least on the portions of the rear face of the substrate not covered with the doping paste. Finally, an annealing of the substrate diffuses the doping agents in the substrate and forms doped regions under the doping paste.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: March 2, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Yannick Veschetti, Armand Bettinelli
  • Patent number: 7495347
    Abstract: A method that includes providing a semiconductor substrate having a mask on a surface thereof. The mask includes a first region having no masking elements and a second region having a plurality of masking elements. Each of the plurality of masking elements has a dimension that is equal to a first length, the first length less than twice a diffusion length of a dopant. The method further includes bombarding the semiconductor substrate and masking element with ions of the dopant. The ions form a first impurity concentration in the first region and a second impurity concentration in the second region.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: February 24, 2009
    Assignee: Xerox Corporation
    Inventors: Alan D. Raisanen, Shelby F. Nelson
  • Patent number: 7407875
    Abstract: Embodiments of the present invention provide a method of fabricating a contact structure in a layer of dielectric material between a semiconductor device and a back-end-of-line interconnect. The method includes creating at least one contact opening in said layer of dielectric material; forming a first TiN film through a chemical-vapor deposition process, said first TiN film lining said contact opening; and forming a second TiN film through a physical vapor deposition process, said second TiN film lining said first TiN film. A contact structure fabricated according to embodiments of the invention is also provided.
    Type: Grant
    Filed: September 6, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Keith Kwong Hon Wong, Patrick W. DeHaven, Sadanand V. Deshpande, Anita Madan
  • Patent number: 7384825
    Abstract: Methods of fabricating phase change memory elements include forming an insulating layer on a semiconductor substrate, forming a through hole penetrating the insulating layer, forming a lower electrode in the through hole and forming a recess having a sidewall comprising a portion of the insulating layer by selectively etching a surface of the lower electrode relative to the insulating layer. A phase change memory layer is formed on the lower electrode. The phase change memory layer has a portion confined by the recess and surrounded by the insulating layer. An upper electrode is formed on the phase change memory layer. Phase change memory elements are also provided.
    Type: Grant
    Filed: April 7, 2005
    Date of Patent: June 10, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon-sang Park, Chang-ki Hong, Sang-yong Kim
  • Publication number: 20080111206
    Abstract: A method of processing a substrate having first and second surfaces applies a first dopant in liquid form on the first surface of the substrate, and applies a second dopant in liquid form on the second surface of the substrate. The method then causes the first and second dopants to diffuse into the substrate.
    Type: Application
    Filed: November 2, 2007
    Publication date: May 15, 2008
    Applicant: EVERGREEN SOLAR, INC.
    Inventors: Jack I. Hanoka, Christopher E. Dube, Carolyn K. Schad
  • Patent number: 7371648
    Abstract: The present invention provides a method for manufacturing a transistor device, and a method for manufacturing an integrated circuit including the same. The method for manufacturing the transistor device, among other elements, includes forming a gate structure over a substrate, implanting an atom selected from the group consisting of fluorine, silicon, or germanium into the substrate proximate the gate structure to cause at least a portion of the substrate to be in a sub-amorphous state, and implanting a dopant into the substrate having the implanted atom therein, thereby forming source/drain regions in the substrate, wherein the transistor device does not have a halo/pocket implant.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: May 13, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jihong Chen, Srinivasan Chakravarthi, Eddie H. Breashears, Amitabh Jain
  • Publication number: 20080076240
    Abstract: Method for producing doped regions on the rear face of a photovoltaic cell. A doping paste with a first type of conductivity is deposited on a rear face of a semiconductor-based substrate according to a pattern consistent with the desired distribution of regions doped with the first type of conductivity. Then, an oxide layer is deposited at least on the portions of the rear face of the substrate not covered with the doping paste. Finally, an annealing of the substrate diffuses the doping agents in the substrate and forms doped regions under the doping paste.
    Type: Application
    Filed: September 7, 2007
    Publication date: March 27, 2008
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Yannick Veschetti, Armand Bettinelli
  • Patent number: 7338876
    Abstract: A method for forming a semiconductor memory device includes the steps of: implanting a dopant in a semiconductor substrate; heat treating the semiconductor substrate in an oxidizing ambient to diffuse the dopant for forming diffused regions in the semiconductor substrate; and forming memory cells each including a MOS transistor having the diffused regions as source/drain regions.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: March 4, 2008
    Assignee: Elpida Memory, Inc.
    Inventors: Kensuke Okonogi, Kiyonori Oyu
  • Patent number: 7235436
    Abstract: A method for doping fin structures in FinFET devices includes forming a first glass layer on the fin structure of a first area and a second area. The method further includes removing the first glass layer from the second area, forming a second glass layer on the fin structure of the first area and the second area, and annealing the first area and the second area to dope the fin structures.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: June 26, 2007
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ming-Ren Lin, Zoran Krivokapic, Haihong Wang, Bin Yu
  • Patent number: 7144751
    Abstract: Methods for fabrication of emitter wrap through (EWT) back-contact solar cells and cells made by such methods. Certain methods provide for higher concentration of dopant in conductive vias compared to the average dopant concentration on front or rear surfaces, and provided increased efficiency. Certain methods provide for selective doping to holes for forming conductive vias by use of printed dopant pastes. Other methods provide for use of spin-on glass substrates including dopant.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: December 5, 2006
    Assignee: Advent Solar, Inc.
    Inventors: James M. Gee, Peter Hacke
  • Patent number: 7115437
    Abstract: A micromachined structure having electrically isolated components is formed by thermomigrating a dopant through a substrate to form a doped region within the substrate. The doped region separates two portions of the substrate. The dopant is selected such that the doped region electrically isolates the two portions of the substrate from each other via junction isolation.
    Type: Grant
    Filed: September 8, 2004
    Date of Patent: October 3, 2006
    Assignee: Georgia Tech Research Corporation
    Inventors: Mark G. Allen, Charles C. Chung
  • Patent number: 7109098
    Abstract: A method of forming semiconductor junctions in a semiconductor material of a workpiece includes ion implanting dopant impurities in selected regions of the semiconductor material, introducing an optical absorber material precursor gas into a chamber containing the workpiece, generating an RF oscillating toroidal plasma current in a reentrant path that includes a process zone overlying the workpiece by applying RF source power, so as to deposit a layer of an optical absorber material on the workpiece, and optically annealing the workpiece so as to activate dopant impurities in the semiconductor material.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: September 19, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Ramaswamy, Hiroji Hanawa, Biagio Gallo, Kenneth S. Collins, Kai Ma, Vijay Parihar, Dean Jennings, Abhilash J. Mayur, Amir Al-Bayati, Andrew Nguyen
  • Patent number: 7045449
    Abstract: The invention includes a semiconductor construction having a pair of channel regions that have sub-regions doped with indium and surrounded by boron. A pair of transistor constructions are located over the channel regions and are separated by an isolation region. The transistors have gates that are wider than the underlying sub-regions. The invention also includes a semiconductor construction that has transistor constructions with insulative spacers along gate sidewalls. Each transistor construction is between a pair source/drain regions that extend beneath the spacers. A source/drain extension extends the source/drain region farther beneath the transistor constructions on only one side of each of the transistor constructions. The invention also includes methods of forming semiconductor constructions.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: May 16, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 7005364
    Abstract: The invention provides a method for manufacturing a semiconductor device with which an impurity introduction region and a positioning mark region can be formed aligned, based on a common insulating film pattern.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoto Niisoe