Copper Of Copper Alloy Conductor Patents (Class 438/687)
  • Patent number: 7560016
    Abstract: To make a metal feature, a non-plateable layer is applied to a workpiece surface and then patterned to form a first plating region and a first non-plating region. Then, metal is deposited on the workpiece to form a raised field region in said first plating region and a recessed region in said first non-plating region. Then, an accelerator film is applied globally on the workpiece. A portion of the accelerator film is selectively removed from the field region, and another portion of the accelerator film remains in the recessed acceleration region. Then, metal is deposited onto the workpiece, and the metal deposits at an accelerated rate in the acceleration region, resulting in a greater thickness of metal in the acceleration region compared to metal in the non-activated field region. Then, metal is completely removed from the field region, thereby forming the metal feature.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: July 14, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Steven T. Mayer, John Stephen Drewery
  • Patent number: 7560378
    Abstract: A diffusion barrier film, a second insulating film, and a cap film are sequentially laminated on a first insulating film over a substrate. A wiring trench portion is formed extending therethrough to the first insulating film, assuming that the ratio of a width of the wiring trench portion in a direction orthogonal to its extending direction to a height of the wiring trench portion is 2.8 times even at a maximum. A barrier metal film is formed to cover the cap film and the wiring trench portion. A wiring film is deposited to cover the barrier metal film. The wiring film and the barrier metal film are chipped away until the surface of the cap film is exposed from the surface of the wiring film, thereby to form a wiring portion which buries the wiring trench portion.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: July 14, 2009
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Shunichi Tokitoh
  • Patent number: 7560381
    Abstract: In an enhanced technique for electroless metal deposition, the substrate is heated to or above the operating temperature for the specific plating solution, while the plating solution may be maintained at a non-critical low temperature to substantially prevent spontaneous self-decomposition within the plating tool. Hence, significant advantages with respect to process control and cost of ownership may be achieved.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: July 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Markus Nopper, Axel Preusse, Matthias Bonkass
  • Patent number: 7560380
    Abstract: A method of forming a metal interconnect for an integrated circuit includes depositing a barrier layer on a dielectric layer having a trench formed therein, depositing an adhesion layer on the barrier layer, depositing a metal layer on the adhesion layer, removing the metal layer using a CMP process until at least a portion of the adhesion layer is exposed, and removing portions of the adhesion layer and the barrier layer sited substantially outside of the trench using a dissolution process. The dissolution process applies an electrolyte solution to those portions of the adhesion layer and the barrier layer sited substantially outside of the trench to dissolve and remove them.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: July 14, 2009
    Assignee: Intel Corporation
    Inventors: Tatyana N. Andryushchenko, Anne E. Miller
  • Publication number: 20090174077
    Abstract: A method and intermediate product for structuring a substrate is disclosed. At least one seed layer including a first metal compound is positioned at least partially on the substrate. The seed layer is subjected to a solution comprising ions of a second metal compound. The ions are reduced in the solution by reduction means so that the second metal compound is deposited as mask layer on the seed layer.
    Type: Application
    Filed: January 4, 2008
    Publication date: July 9, 2009
    Inventors: Klaus Elian, Michael Sebald
  • Publication number: 20090176369
    Abstract: A method for forming a single damascene and/or dual damascene, contact and interconnect structure, comprising: performing front end processing, depositing copper including a copper barrier, annealing the copper in at least 90% N2 with less than 10% H2, performing planarization, performing in-situ low-H NH3 plasma treatment and low Si—H SiN etch stop layer deposition, and performing remaining back end processing.
    Type: Application
    Filed: January 9, 2008
    Publication date: July 9, 2009
    Inventors: Alexander H. Nickel, Allen L. Evans, Minh Quoc Tran, Lu You, Minh Van Ngo, Pei-Yuan Gao, William S. Brennan, Eric Wilson, Sung Jin Kim, Hieu Trung Pham
  • Patent number: 7557447
    Abstract: An improved migration resistance of the interconnect is provided and a diffusion of silicon into the inside of the interconnect is suppressed. A semiconductor device includes a silicon substrate, a first insulating film provided on the silicon substrate and composed of an SiCN film, an SiOC film and an SiO2 film, and a first copper interconnect provided in the first insulating film and essentially composed of a copper-containing metal. An Si—O unevenly distributed layer doped with injected silicon is included in the vicinity of the surface in the inside of the first copper interconnect, and injected atomic silicon at least partially creates Si—O bond.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: July 7, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Tatsuya Usami, Koichi Ohto
  • Patent number: 7557035
    Abstract: The invention provides a method of exposing low-k dielectric films to microwave radiation to cure the dielectric films. Microwave curing reduces the cure-time necessary to achieve the desired mechanical properties in the low-k films, thus decreasing the thermal exposure time for the NiSi transistor contacts. A lower thermal budget for interconnect fabrication is necessary to prevent damage to the NiSi transistor contacts and minimize thermal stressing of previously formed interconnect layers. Microwave-cured dielectric films also have higher mechanical strength and strong adhesion to overlying layers deposited during subsequent semiconductor device manufacturing steps.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: July 7, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: E. Todd Ryan, John A. Iacoponi
  • Publication number: 20090170306
    Abstract: A process for filling recessed features of a dielectric substrate for a semiconductor device, comprises the steps (a) providing a dielectric substrate having a recessed feature in a surface thereof, wherein the smallest dimension (width) across said feature is less than ?200 nm, a conductive layer being present on at least a portion of said surface, (b) filling said recessed feature with a conductive material, and (c) prior to filling said recessed feature with said conductive material, treating said surface with an accelerator.
    Type: Application
    Filed: March 30, 2006
    Publication date: July 2, 2009
    Applicant: FREESCALE SEMICONDUTOR INC
    Inventor: John C Flake
  • Patent number: 7553754
    Abstract: In an electronic device comprising a first electrodes consisting of a metal oxide and a second electrode consisting of an aluminum alloy film directly contacted and electrically connected to the first electrode, the contact interface between the aluminum alloy film and the first electrode is constructed so that at least a part of alloy components constituting the aluminum alloy film exist as a precipitate or concentrated layer. This construction enables direct contact between the aluminum alloy film and the electrode consisting of a metallic oxide and allows elimination of a barrier metal in such an electronic device, and manufacturing technology therefor.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: June 30, 2009
    Assignee: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventors: Hiroshi Gotoh, Toshihiro Kugimiya, Junichi Nakai, Katsufumi Tomihisa
  • Patent number: 7554199
    Abstract: The CMP technology is provided for a damascene wiring structure having a plural-layer wiring that is excellent in flatness and resolvability of Cu residue. An evaluation substrate is provided for evaluating the condition of a CMP that is employed for configuring a semiconductor device having a plurality of wirings in a vertical direction, and the evaluation substrate comprises: a substrate; a first groove formed on the substrate; a second groove formed on the substrate; and wiring material provided in the first groove and the second groove, wherein a depth of the second groove is shallower than that of the first groove.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: June 30, 2009
    Assignee: Consortium for Advanced Semiconductor Materials and Related Technologies
    Inventors: Takenori Narita, Masaki Ito, Kenji Sameshima
  • Patent number: 7553430
    Abstract: Aqueous polishing slurries for chemical-mechanical polishing are effective for polishing copper at high polish rates. The aqueous slurries according to the present invention may include soluble salts of molybdenum dissolved in an oxidizing agent and molybdic acid dissolved in an oxidizing agent. Methods for polishing copper by chemical-mechanical planarization include polishing copper with low pressures using a polishing pad and a aqueous slurries including soluble salts of molybdenum dissolved in an oxidizing agent and molybdic acid dissolved in an oxidizing agent, particles of MoO3 dissolved in an oxidizing agent, and particles of MoO2 dissolved in an oxidizing agent.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: June 30, 2009
    Assignee: Climax Engineered Materials, LLC
    Inventors: Sunil Chandra, Sreehari Nimmala, Suryadevara Vijayakumar Babu, Udaya B. Patri, Sharath Hedge, Youngki Hong
  • Patent number: 7550386
    Abstract: One embodiment of the present invention is a method for making metallic interconnects over a substrate, the substrate having a patterned insulating layer which includes at least one opening and a field surrounding the at least one opening, the at least one opening has sidewalls and bottom and a width of less than about 0.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: June 23, 2009
    Inventor: Uri Cohen
  • Patent number: 7547633
    Abstract: The present invention provides methods and apparatus for performing thermal processes to a semiconductor substrate. Thermal processing chambers of the present invention comprise two different energy sources, such as an infrared radiation source and a UV radiation source. The UV radiation source and the infrared radiation source may be used alone or in combination to supply heat, activate electronic, or create active species inside the thermal processing chamber.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: June 16, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Michael Ranish, Yoshitaka Yokota
  • Patent number: 7544606
    Abstract: A method of forming a metal feature in a low-k dielectric layer is provided. The method includes forming an opening in a low-k dielectric layer, forming a metal layer having a substantially planar surface over the low-k dielectric layer using spin-on method, and stress free polishing the metal layer. Preferably, the metal layer comprises copper or copper alloys. The metal layer preferably includes a first sub layer having a substantially non-planar surface and a second sub layer having a substantially planar surface on the first sub layer.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: June 9, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jean Wang, Chia-Ming Yang, Henry Lo, Joshua Tseng
  • Patent number: 7544603
    Abstract: A method of fabricating a silicon nitride layer is described. First, a substrate is provided. Then, a silicon nitride layer is formed on the substrate. The silicon nitride layer is UV-cured in an atmosphere lower than the standard atmospheric pressure. Through the UV curing treatment, the tensile stress of the silicon nitride layer is increased.
    Type: Grant
    Filed: September 22, 2005
    Date of Patent: June 9, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Hsiu-Lien Liao
  • Patent number: 7541279
    Abstract: A method for manufacturing a semiconductor device is provided. The method includes the steps of forming an interlayer insulating layer on a semiconductor substrate, selectively patterning the interlayer insulating layer to form a contact hole, depositing a first metal on an inner surface of the contact hole, submerging the semiconductor substrate on which the first metal is deposited into an electrochemical plating (ECP) solution bath in which a second metal is dissolved, dissolving the first metal in the ECP solution bath, plating the first and second metals dissolved in the ECP solution bath at the same time to gap-fill an alloy of the first and second metals in the contact hole, and removing the alloy using the interlayer insulating layer as an end point in a CMP process to form an alloy interconnection.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: June 2, 2009
    Assignee: Dongbu Electronics Co., Ltd
    Inventors: Sang Chul Kim, Jae Won Han
  • Patent number: 7538024
    Abstract: A method for fabricating a dual-damascene copper structure includes providing a semiconductor substrate having a dielectric layer thereon and a dual-damascene hole positioned in the dielectric layer, wherein a portion of the semiconductor substrate is exposed in the dual-damascene hole. A PVD process and an atomic CVD process are sequentially performed to form a substrate-protecting layer and a tantalum nitride layer in the dual-damascene hole. And then a copper layer is formed in the dual-damascene hole.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: May 26, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Hsien-Che Teng, Chin-Fu Lin, Meng-Chi Chen
  • Patent number: 7531447
    Abstract: An integrated circuit includes copper lines, wherein the crystal structure of the copper has a greater than 30% <001 > crystal orientation and a less than 20% <111> crystal orientation.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: May 12, 2009
    Assignee: STMicroelectronics SA
    Inventors: Pierre Caubet, Magali Gregoire
  • Patent number: 7528071
    Abstract: Embodiments relate to a method of manufacturing a semiconductor device, wherein voids on a copper seed layer may be removed. According to embodiments, a method of manufacturing a semiconductor device may include forming at least one type of an insulating layer on the entire surface of a semiconductor substrate, forming a contact hole and a trench, through which a portion of the semiconductor substrate is exposed, forming an anti-diffusion layer on inner walls of the contact hole and the trench, forming a copper seed layer on the anti-diffusion layer, removing a copper oxide layer exposed on a surface of the copper seed layer through a wet etching process, and forming a copper metal layer in the contact hole and the trench.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: May 5, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Jong Guk Kim, Kyu Cheol Shim
  • Patent number: 7524755
    Abstract: A method of forming a barrier layer and cap comprised of CuSiN for an interconnect. We provide an interconnect opening in a dielectric layer over a semiconductor structure. We form a CuSiN barrier layer over the sidewalls and bottom of the interconnect opening by reacting with the first copper layer. We then form an interconnect over the CuSiN layer filling the interconnect opening. We can form a CuSiN cap layer on the top surface of the interconnect.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: April 28, 2009
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Johnny Widodo, Bei Chao Zhang, Tong Qing Chen, Yong Kong Siew, Fan Zhang, San Leong Liew, John Sudijono, Liang Choo Hsia
  • Patent number: 7521352
    Abstract: A method for manufacturing a semiconductor device includes forming a copper anti-diffusion film on a copper trench wiring layer, and forming an opening portion in the copper anti-diffusion film by laser ablation, the opening portion being formed in a region corresponding to an alignment region used for lithography process for forming an aluminum wiring on the copper trench wiring layer.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: April 21, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideo Shinomiya, Jun Hirota, Mie Matsuo, Hisashi Kaneko
  • Patent number: 7521362
    Abstract: A method in a plasma processing system for etching a feature through a dielectric layer of a dual damascene stack on a semiconductor substrate is disclosed. The method includes placing the substrate in a plasma processing chamber of the plasma processing system. The method further includes flowing an etchant gas mixture into the plasma processing chamber, the etchant gas mixture being configured to etch the dielectric layer. The method additionally includes striking a plasma from the etchant source gas. The method also includes etching the feature through the dielectric layer while applying a bias RF signal to the substrate, the bias RF signal having a bias RF frequency of between about 27 MHz and about 90 MHz. The bias RF signal further has a bias RF power component that is configured to cause the feature to be etched in accordance to predefined etch rate parameters and etch profile parameters at the bias RF frequency.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: April 21, 2009
    Assignee: LAM Research Corporation
    Inventors: Kenji Takeshita, Odette Turmel, Felix Kozakevich, Eric Hudson
  • Patent number: 7514361
    Abstract: A method of creating metal caps on copper lines within an inter-line dielectric (ILD) deposits a thin (e.g., 5 nm) metal blanket film (e.g., Ta/TaN) on top the copper lines and dielectric, after the wafer has been planarized. Further a thin dielectric cap is formed over the metal blanket film. A photoresist coating is deposited over the thin dielectric cap and a lithographic exposure process is performed, but without a lithographic mask. A mask is not needed in this situation, because due to the reflectivity difference between copper and the ILD lying under the two thin layers, a mask pattern is automatically formed for etching away the Ta/TaN metal cap between copper lines. Thus, this mask pattern is self-aligned above the copper lines.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Shyng-Tsong Chen, Matthew E. Colburn, Ronald DellaGuardia, Chih-Chao Yang
  • Publication number: 20090081870
    Abstract: In a semiconductor device, an insulating interlayer is provided above a semiconductor substrate, and a plurality of first wiring layers and a plurality of second wiring layers are formed in the insulating interlayer. The first wiring layers are substantially composed of copper, and are arranged in parallel at a large pitch. The second wiring layers are substantially composed of copper, and are arranged in parallel at a small pitch. A first metal capping layer is formed on each of the first wiring layers, and a second metal capping layer is formed on each of the second wiring layers. The second metal capping layer has a smaller thickness than that of the first metal capping layer.
    Type: Application
    Filed: December 1, 2008
    Publication date: March 26, 2009
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Toshiyuki Takewaki, Kazuyoshi Ueno
  • Patent number: 7507660
    Abstract: In one embodiment, a method for forming a barrier material on a substrate is provided which includes exposing a dielectric layer on the substrate to a plasma during a preclean process, wherein the dielectric layer contains a feature having sidewalls and a bottom surface, and depositing a tungsten-containing barrier material containing tungsten nitride on the sidewalls and the bottom surface of the feature during a cyclic layer deposition process. The method further provides depositing a metal-containing seed layer on the tungsten-containing barrier material and depositing a metal-containing layer over the metal-containing seed layer to fill the feature.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: March 24, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Mei Chang
  • Patent number: 7507659
    Abstract: A method for fabricating a semiconductor device has forming an opening defined by an inner wall surface in an insulation film, covering said inner wall surface with a Cu—Mn alloy layer, depositing a first Cu layer over said Cu—Mn alloy layer without exposing said Cu—Mn alloy layer to the air, depositing a second Cu layer over said first Cu layer and filling said opening with said second Cu layer, and forming a barrier layer over said inner wall surface as a result of a reaction between Mn in said Cu—Mn alloy layer and said insulation film.
    Type: Grant
    Filed: November 13, 2007
    Date of Patent: March 24, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Nobuyuki Ohtsuka, Noriyoshi Shimizu, Yoshiyuki Nakao
  • Patent number: 7507666
    Abstract: An insulating film having a concave portion is formed on a semiconductor substrate. The inner surface of the concave portion and the upper surface of the insulating film are covered with an auxiliary film made of Cu alloy containing a first metal element other than Cu. A conductive member containing Cu as a main composition is deposited on the auxiliary film, the conductive member being embedded in the concave portion. Heat treatment is performed in an atmosphere containing P compound, Si compound or B compound. With this method, a content of element other than Cu in the conductive member can be reduced and a resistivity can be lowered.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: March 24, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Yoshiyuki Nakao, Hideki Kitada, Nobuyuki Ohtsuka, Noriyoshi Shimizu
  • Patent number: 7507667
    Abstract: A copper film is treated by applying light at short wavelengths, e.g., at less than 0.6 ?m, to heat the copper film and generate a large temperature gradient from the surface of the copper to the interface between the copper and underlying silicon. As a result, grain growth in the copper is enhanced.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: March 24, 2009
    Assignee: WaferMasters, Inc.
    Inventor: Woo Sik Yoo
  • Patent number: 7504333
    Abstract: A method of forming a conductive structure (e.g., bit line) of a semiconductor device includes forming a barrier metal layer on a semiconductor substrate in which structures are formed. An amorphous titanium carbon nitride layer is formed on the barrier metal layer. A tungsten seed layer is formed on the amorphous titanium carbon nitride layer under an atmosphere including a boron gas. A tungsten layer is formed on the tungsten seed layer, thus forming a bit line.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: March 17, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Mo Jeong, Whee Won Cho, Eun Soo Kim, Seung Hee Hong
  • Patent number: 7504699
    Abstract: A method of forming an air gap or gaps within solid structures and specifically semiconductor structures to reduce capacitive coupling between electrical elements such as metal lines, wherein a norbornene-type polymer is used as a sacrificial material to occupy a closed interior volume in a semiconductor structure. The sacrificial material is caused to decompose into one or more gaseous decomposition products which are removed, preferably by diffusion, through an overcoat layer. The decomposition of the sacrificial material leaves an air gap or gaps at the closed interior volume previously occupied by the norbornene-type polymer. The air gaps may be disposed between electrical leads to minimize capacitive coupling therebetween.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: March 17, 2009
    Assignee: George Tech Research Corporation
    Inventors: Paul A. Kohl, Qiang Zhao, Sue Ann Bidstrup Allen
  • Patent number: 7504335
    Abstract: Generally, the process includes depositing a barrier layer and seed layer on a feature formed in a dielectric layer, performing a grafting process, initiating a copper layer and then filing the feature by use of a bulk copper fill process. Copper features formed according to aspects described herein have desirable adhesion properties to a barrier and seed layers formed on a semiconductor substrate and demonstrate enhanced electromigration and stress migration results in the fabricated devices formed on the substrate.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: March 17, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael Yang, Aron Rosenfeld, Hooman Hafezi, Zhi-Wen Sun, John Dukovic
  • Patent number: 7498242
    Abstract: Method and structures are provided for conformal lining of dual damascene structures in integrated circuits. Preferred embodiments are directed to providing conformal lining over openings formed in porous materials. Trenches are formed in, preferably, insulating layers. The layers are then adequately treated with a particular plasma process. Following this plasma treatment a self-limiting, self-saturating atomic layer deposition (ALD) reaction can occur without significantly filling the pores forming improved interconnects.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: March 3, 2009
    Assignee: ASM America, Inc.
    Inventors: Devendra Kumar, Kamal Kishore Goundar, Nathanael R. C. Kemeling, Hideaki Fukuda, Hessel Sprey, Maarten Stokhof
  • Patent number: 7498262
    Abstract: A method for forming a thin film of a semiconductor device, which may include at least one of the following steps: Forming a Tantalum Nitride (TaN) film over a semiconductor substrate by atomic layer deposition. Forming a Tantalum (Ta) film by converting at least a portion of a Tantalum Nitride (TaN) film into Tantalum (Ta) by soaking the TaN film in a diluted HNO3 solution.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: March 3, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: In-Cheol Baek, Han-Choon Lee
  • Patent number: 7494927
    Abstract: A method for forming a conductive thin film includes depositing a metal oxide thin film on a substrate by an atomic layer deposition (ALD) process. The method further includes at least partially reducing the metal oxide thin film by exposing the metal oxide thin film to a reducing agent, thereby forming a seed layer. In one arrangement, the reducing agent comprises one or more organic compounds that contain at least one functional group selected from the group consisting of —OH, —CHO, and —COOH. In another arrangement, the reducing agent comprises an electric current.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: February 24, 2009
    Assignee: ASM International N.V.
    Inventors: Juhana Kostamo, Pekka J. Soininen, Kai-Erik Elers, Suvi Haukka
  • Patent number: 7494928
    Abstract: A method for patterning passivation layers including providing a semiconductor wafer comprising metal interconnects; forming a dielectric passivation layer on the metal interconnects; forming a photosensitive polymeric passivation layer on the dielectric passivation layer; patterning the photosensitive polymeric passivation layer in a first patterning process to form a first opening revealing a portion of the dielectric passivation layer; and, patterning the portion of the dielectric passivation layer in a second patterning process to form at least a second opening in the dielectric passivation layer.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: February 24, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shin-Rung Lu, Kun-Hong Lin
  • Patent number: 7494908
    Abstract: A system for processing a substrate is provided which includes at least one atomic layer deposition (ALD) chamber for depositing a barrier layer containing tantalum and at least one physical vapor deposition (PVD) metal seed chamber for depositing a metal seed layer on the barrier layer. The at least one ALD chamber may be in fluid communication with a first precursor source providing a tantalum-containing compound and a second precursor source. In one example, the tantalum-containing compound is an organometallic tantalum precursor, such as PDMAT. In another example, the second precursor source contains a nitrogen precursor, such as ammonia. The PDMAT may have a chlorine concentration of about 100 ppm or less, preferably, about 30 ppm or less, and more preferably, about 5 ppm or less. In some examples, the PVD metal seed chamber is used to deposit a copper-containing metal seed layer.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: February 24, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Jick Yu, Mei Chang
  • Publication number: 20090047783
    Abstract: A method of removing unwanted material from a substrate includes providing a system (600) having an etchant solution (610) with an electrode (620) therein and a current supply (630) connected to the electrode, placing the substrate in the solution and connecting it to the current supply, providing an electric current to the electrode, and altering a polarity of the electric current such that the substrate experiences an anodic polarity for a first time period and a cathodic polarity for a shorter time period. An alternative method includes providing a solution delivery system (1100) having a second etchant solution (1110) with an eductor jet (1140) therein and a recirculation pump connected to the eductor jet, placing the substrate in the second solution, and using the eductor jet to spray the substrate with the second solution. If desired, both methods may be used.
    Type: Application
    Filed: August 13, 2007
    Publication date: February 19, 2009
    Inventors: Omar J. Bchir, Houssam Jomaa, Islam A. Salama, Yonggang Li
  • Patent number: 7491638
    Abstract: A new technique is disclosed in which a barrier/capping layer for a copper-based metal line is formed by using a thermal-chemical treatment followed by an in situ plasma-based deposition of silicon nitride and/or silicon carbon nitride. The thermal-chemical treatment is performed on the basis of an ammonium/nitrogen mixture in the absence of any plasma ambient.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: February 17, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Joerg Hohage, Matthias Lehr, Volker Kahlert
  • Patent number: 7491640
    Abstract: In a dual damascene process to form a fine interconnection structure, a semiconductor manufacturing method includes: forming a first film to be etched on an insulating layer on a semiconductor substrate; forming a first mask film with an opening on the first film; forming a second film to be etched on the first mask film, burying the opening; forming a second mask film on the second film to be etched; forming an interconnection pattern in the second mask film in the upper portion of the opening; forming an interconnection pattern by etching the second film using the second mask film, forming a via pattern by etching the first film to be etched using the first mask film; and forming a via hole and an interconnection trench in the upper portion of the via hole in the insulating layer by selectively etching the insulating layer using the interconnection and via patterns.
    Type: Grant
    Filed: March 3, 2008
    Date of Patent: February 17, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Masatoshi Nagase
  • Publication number: 20090039515
    Abstract: Methods of blocking ionizing radiation to reduce soft errors and resulting IC chips are disclosed. One embodiment includes forming a front end of line (FEOL) for an integrated circuit (IC) chip; and forming at least one back end of line (BEOL) dielectric layer including ionizing radiation blocking material therein. Another embodiment includes forming a front end of line (FEOL) for an integrated circuit (IC) chip; and forming an ionizing radiation blocking layer positioned in a back end of line (BEOL) of the IC chip. The ionizing radiation blocking material or layer absorbs ionizing radiation and reduces soft errors within the IC chip.
    Type: Application
    Filed: August 10, 2007
    Publication date: February 12, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta G. Farooq, Ian D. Melville, Kevin S. Petrarca, Kenneth P. Rodbell
  • Patent number: 7488677
    Abstract: A method of making an interconnect that includes providing an interconnect structure in a dielectric material, recessing the dielectric material such that a portion of the interconnect structure extends above an upper surface of the dielectric; and depositing an encasing cap over the extended portion of the interconnect structure.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: February 10, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kwong Hon Wong, Louis C. Hsu, Timothy J. Dalton, Carol Radens, Chih-Chao Yang, Lawrence A. Clevenger, Theodorus E. Standaert
  • Publication number: 20090035940
    Abstract: A method for metallizing a through silicon via feature in a semiconductor integrated circuit device substrate comprising immersing the semiconductor integrated circuit device substrate into an electrolytic copper deposition composition comprising a source of copper ions, an organic sulfonic acid or inorganic acid, or one or more organic compounds selected from among polarizers and/or depolarizers, and chloride ions.
    Type: Application
    Filed: August 4, 2008
    Publication date: February 5, 2009
    Applicant: ENTHONE INC.
    Inventors: Thomas B. Richardson, Yun Zhang, Chen Wang, Vincent Paneccasio, JR., Cai Wang, Xuan Lin, Richard Hurtubise, Joseph A. Abys
  • Patent number: 7485340
    Abstract: The present invention relates generally to depositing elemental thin films. In particular, the invention concerns a method of growing elemental metal thin films by Atomic Layer Deposition (ALD) using a boron compound as a reducing agent. In a preferred embodiment the method comprises introducing vapor phase pulses of at least one metal source compound and at least one boron source compound into a reaction space that contains a substrate on which the metal thin film is to be deposited. Preferably the boron compound is capable of reducing the adsorbed portion of the metal source compound into its elemental electrical state.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: February 3, 2009
    Assignee: ASM International N.V.
    Inventors: Kai-Erik Elers, Ville Antero Saanila, Sari Johanna Kaipio, Pekka Juha Soininen
  • Patent number: 7479453
    Abstract: A method of manufacturing a semiconductor device in a MLM process to reduce compression stress of a metal line or a HDP oxide film, and to reduce compression stress in a subsequent metal line thermal treatment process. It is thus possible to reduce generation of a crack caused by compression stress. Further, by obviating a heterogeneous interface becoming a cause of a crack and stabilizing the interface of an unstable TEOS oxide film, generation of a crack in a semiconductor device can be reduced.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: January 20, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jung Geun Kim, Ki Hong Yang
  • Patent number: 7476618
    Abstract: A method for enhancing the reliability of copper interconnects and/or contacts, such as the bottom of vias exposing top surfaces of buried copper, or at the top of copper lines just after CMP. The method comprises contacting the exposed copper surface with a vapor phase compound of a noble metal and selectively forming a layer of the noble metal on the exposed copper surface, either by a copper replacement reaction or selective deposition (e.g., ALD or CVD) of the noble metal.
    Type: Grant
    Filed: October 18, 2005
    Date of Patent: January 13, 2009
    Assignee: ASM Japan K.K.
    Inventors: Olli V. Kilpelä, Wonyong Koh, Hannu A. Huotari, Marko Tuominen, Miika Leinikka
  • Patent number: 7476605
    Abstract: A method for manufacturing a semiconductor device is provided, which comprises forming a first metal wiring layer above a semiconductor substrate, forming an inorganic insulating film above the first metal wiring layer, forming an organic insulating film on the inorganic insulating film, forming a recess in the organic insulating film, forming a reactive layer on the side surface of the recess, the reactive layer being capable of reaction under heat with the organic insulating film, applying a heat treatment to the reactive layer so as to permit the reactive layer to react with the organic insulating film while leaving an unreacted reactive layer, thereby allowing the reaction layer to grow on the side surface of the recess, the recess being diminished by the growth of the reaction layer, and removing the unreacted reactive layer to obtain a diminished recess.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: January 13, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Yosho
  • Patent number: 7476602
    Abstract: A method of forming a semiconductor device including forming a low-k dielectric material over a substrate, depositing a liner on a portion of the low-k dielectric material, and exposing the liner to a plasma. The method also includes depositing a layer over the liner.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 13, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Sameer Kumar Ajmera, Patricia Beauregard Smith, Changming Jin
  • Patent number: 7476619
    Abstract: An object of the invention is to make it possible to perform the embedding of a Cu diffusion preventing film and a Cu film to a fine pattern of a high aspect ratio by using a medium of a supercritical state in a manufacturing process of a semiconductor device. The object of the invention is achieved by a substrate processing method comprising a first step of processing a substrate by supplying a first processing medium containing a first medium of a supercritical state onto the substrate, a second step of forming a Cu diffusion preventing film on the substrate by supplying a second processing medium containing a second medium of a supercritical state onto the substrate, and a third step of forming a Cu film on the substrate by supplying a third processing medium containing a third medium of a supercritical state onto the substrate.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: January 13, 2009
    Assignees: Tokyo Electron Limited
    Inventors: Eiichi Kondoh, Vincent Vezin, Kenichi Kubo, Yoshinori Kureishi, Tomohiro Ohta
  • Patent number: 7476611
    Abstract: An interconnect trench is formed on a dielectric layer 12 and a first HSQ layer 14 formed on a semiconductor substrate, and a tantalum family barrier metal layer 24a is formed all over the substrate. Then a seed copper-containing metal layer 60 and a plated copper layer 62 are formed so as to fill a part of the interconnect trench. After that, a bias-sputtered copper-containing metal layer 64 is formed on the plated copper layer 62 so as to fill the remaining portion of the interconnect trench and then heat treatment is performed. As a result, a dissimilar metal contained in the bias-sputtered copper-containing metal layer 64 diffuses uniformly into the plated copper layer 62.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: January 13, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Hiroyuki Kunishima, Toshiyuki Takewaki