Copper Of Copper Alloy Conductor Patents (Class 438/687)
  • Patent number: 7858519
    Abstract: A method is provided for forming a capping layer comprising Cu, N, and also Si and/or Ge onto a copper conductive structure, said method comprising the sequential steps of: forming, at a temperature range between 200° C. up to 400° C., at least one capping layer onto said copper conductive structure by exposing said structure to a GeH4 and/or a SiH4 comprising ambient, performing a NH3 plasma treatment thereby forming an at least partly nitrided capping layer, forming a dielectric barrier layer onto said at least partly nitrided capping layer, wherein prior to said step of forming said at least one capping layer a pre-annealing step of said copper conductive structure is performed at a temperature range between 250° C. up to 450° C.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: December 28, 2010
    Assignees: IMEC, Taiwan Semiconductor Manufacturing Company, Ltd. (TSMC)
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Publication number: 20100320609
    Abstract: Disclosed are pre-wetting apparatus designs and methods. These apparatus designs and methods are used to pre-wet a wafer prior to plating a metal on the surface of the wafer. Disclosed compositions of the pre-wetting fluid prevent corrosion of a seed layer on the wafer and also improve the filling rates of features on the wafer.
    Type: Application
    Filed: January 8, 2010
    Publication date: December 23, 2010
    Inventors: Steven T. Mayer, David W. Porter, Mark J. Willey
  • Patent number: 7855454
    Abstract: A method of activating a metal structure on an intermediate semiconductor device structure toward metal plating. The method comprises providing an intermediate semiconductor device structure comprising at least one first metal structure and at least one second metal structure on a semiconductor substrate. The at least one first metal structure comprises at least one aluminum structure, at least one copper structure, or at least one structure comprising a mixture of aluminum and copper and the at least one second metal structure comprises at least one tungsten structure. One of the at least one first metal structure and the at least one second metal structure is activated toward metal plating without activating the other of the at least one first metal structure and the at least one second metal structure. An intermediate semiconductor device structure is also disclosed.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: December 21, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, James M. Wark, William M. Hiatt
  • Patent number: 7855144
    Abstract: Provided is a method of forming conductors (e.g., metal lines and/or bumps) for semiconductor devices and conductors formed from the same. First and second seed metal layers may be formed. At least one mask may be formed on a portion on which a conductor is to be formed. An exposed portion may be oxidized. The oxidized portion may be removed. A conductive structure may be formed on an upper surface of a portion which is not oxidized. The conductors may be metal lines and/or bumps. The conductive structures may be solder balls.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: December 21, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soon-bum Kim, Sung-min Sim, Dong-hyeon Jang, Jae-sik Chung, Se-yong Oh
  • Patent number: 7851358
    Abstract: A method of fabricating a copper interconnect on a substrate is disclosed in which the interconnect and substrate are subjected to a low temperature anneal subsequent to polarization of the interconnect and prior to deposition of an overlying dielectric layer. The low temperature anneal inhibits the formation of hillocks in the copper material during subsequent high temperature deposition of the dielectric layer. Hillocks can protrude through passivation layer, thus causing shorts within the connections of the semiconductor devices formed on the substrate. In one example, the interconnect and substrate are annealed at a temperature of about 200° C. for a period of about 180 seconds in a forming gas environment comprising hydrogen (5 parts per hundred) and nitrogen (95 parts per hundred).
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jun Wu, Wen-Long Lee, Chyi-Tsong Ni, Shih-Chi Lin
  • Patent number: 7846841
    Abstract: A method is provided for integrating cobalt nitride cap layers into manufacturing of semiconductor devices to improve electromigration and stress migration in copper (Cu) metal. One embodiment includes providing a patterned substrate containing a recessed feature formed in a low-k material and a first metallization layer at the bottom of the feature, forming a cobalt nitride cap layer on the first metallization layer, depositing a barrier layer in the recessed feature, including on the low-k dielectric material and on the first cobalt metal cap layer, and filling the recessed feature with Cu metal. Another embodiment includes providing a patterned substrate having a substantially planar surface with Cu paths and low-k dielectric regions, and selectively forming a cobalt nitride cap layer on the Cu paths relative to the low-k dielectric regions.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: December 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Shigeru Mizuno, Miho Jomen
  • Patent number: 7838423
    Abstract: Methods of forming capping structures on one or more different material surfaces are provided. One embodiment includes disposing a semiconductor structure in a reduced pressure chamber, forming a capping GCIB within the reduced pressure chamber, and directing the capping GCIB onto at least one of the one or more different material surfaces, so as to form at least one capping structure on the one or more surfaces onto which the capping GCIB is directed.
    Type: Grant
    Filed: March 27, 2009
    Date of Patent: November 23, 2010
    Assignee: TEL Epion Inc.
    Inventors: Arthur J. Learn, Steven R. Sherman, Robert Michael Geffken, John J. Hautala
  • Patent number: 7838999
    Abstract: An integrated circuit/substrate interconnect apparatus and method of manufacture are provided. Included is a substrate with a plurality of wells and a landing pad formed in each of the wells. The substrate further includes a seed layer deposited in each of the wells over the landing pad, and a metalized layer deposited in each of the wells over the seed layer. Before assembly, an upper surface of the metalized layer forms a well.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: November 23, 2010
    Assignee: NVIDIA Corporation
    Inventors: Inderjit Singh, Ray Chen, Behdad Jafari
  • Publication number: 20100291734
    Abstract: A semiconductor device with an improved solder joint system is described. The solder system includes two copper contact pads connected by a body of solder and the solder is an alloy including tin, silver, and at least one metal from the transition groups IIIA, IVA, VA, VIA, VIIA, and VIIIA of the Periodic Table of the Elements. The solder joint system also includes, between the pads and the solder, layers of intermetallic compounds, which include grains of copper and tin compounds and copper, silver, and tin compounds. The compounds contain the transition metals. The inclusion of the transition metals in the compound grains reduce the compound grains size and prevent grain size increases after the solder joint undergoes repeated solid/liquid/solid cycles.
    Type: Application
    Filed: July 22, 2010
    Publication date: November 18, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Masazumi AMAGAI
  • Patent number: 7833893
    Abstract: A method of forming a method a conductive wire. The method includes forming a dielectric hardmask layer on a dielectric layer; forming an electrically conductive hardmask layer on the dielectric hardmask layer; forming a trench extending through the conductive and dielectric hardmask layers into the dielectric layer; depositing a liner/seed layer on the conductive hardmask layer and the sidewalls and bottom of the trench; filling the trench with a fill material; removing the liner/seed layer from the top surface of the conductive hardmask layer; removing the fill material from the trench; electroplating a metal layer onto exposed surfaces of the conductive hardmask layer and liner/seed layer; and removing the metal layer and the conductive hardmask layer from the dielectric hardmask layer so the metal layer and edges of the liner/seed layer are coplanar with the top surface of the dielectric hardmask layer.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Stephan Grunow, Kaushik A. Kumar, Kevin Shawn Petrarca, Richard Paul Volant
  • Patent number: 7833900
    Abstract: The present invention discloses a method of manufacturing an integrated circuit on a semiconductor substrate having a semiconductor device provided thereon, including the steps of forming a copper layer having an overburden of a desired thickness, forming a layer of inert metal on the copper layer, annealing the copper layer and removing the layer of inert metal.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: November 16, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Lup San Leong, Yong Kong Siew, Liang Choo Hsia
  • Patent number: 7829454
    Abstract: A method for integrating selective Ru metal deposition into manufacturing of semiconductor devices to improve electromigration and stress migration in bulk Cu. The method includes selectively depositing a Ru metal film on a metallization layer or on bulk Cu using a process gas containing Ru3(CO)12 precursor vapor and a CO gas in a thermal chemical vapor deposition process. A semiconductor device containing one or more selectively deposited Ru metal films is described.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 9, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Patent number: 7825026
    Abstract: A gas inlet is disposed in a lower portion of a reaction chamber, a copper substrate is disposed in an upper portion thereof, and a tungsten catalytic body heated to 1600° C. is disposed midway between the two. Ammonia gas introduced from the gas inlet is decomposed by the tungsten catalytic body, a chemical species generated by the decomposition reacts with a surface of the copper substrate, and reduces and removes a contaminant on the copper surface, and a Cu3N thin film is formed on the copper substrate surface. This Cu3N film has the action of a film which prevents the oxidation of copper. This Cu3N film is thermally decomposed and removed when heated to temperatures of not less than 300° C., leaving a clean copper surface behind.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: November 2, 2010
    Assignee: Kyushu Institute of Technology
    Inventors: Akira Izumi, Masamichi Ishihara
  • Patent number: 7820535
    Abstract: Effective fillability and the uniformity electrodeposition of a copper electroplating solution is judged by determining the time-dependent potential change thereof at a cathode current density of 0.1-20 A/dm2. The potential change is determined at a working electrode rotation of 100-7500 rpm, and the fillability with the solution is judged from the curve profile. The time-dependent potential change curve within a predetermined period of time after the start of electrolysis is approximated according to the Boltzmann's function, and the potential change speed dx and the potential convergent point A2 are obtained to judge the fillability with a plating solution.
    Type: Grant
    Filed: March 23, 2004
    Date of Patent: October 26, 2010
    Assignee: Toppan Printing Co., Ltd.
    Inventors: Toshikazu Okubo, Katsuyoshi Naoi, Yuka Yamada
  • Publication number: 20100267228
    Abstract: A copper interconnection structure includes an insulating layer, an interconnection and a barrier layer. The insulating layer includes silicon (element symbol: Si), carbon (element symbol: C), hydrogen (element symbol: H) and oxygen (element symbol: O). The interconnection is located on the insulating layer, and the interconnection includes copper (element symbol: Cu). The barrier layer is located between the insulating layer and the interconnection. The barrier layer includes an additional element, carbon (element symbol: C) and hydrogen (element symbol: H). The barrier layer has atomic concentrations of carbon (element symbol: C) and hydrogen (element symbol: H) maximized in a region of a thickness of the barrier layer where the atomic concentration of the additional element is maximized.
    Type: Application
    Filed: June 24, 2010
    Publication date: October 21, 2010
    Applicants: Advanced Interconnect Materials, LLC, Tohoku University
    Inventors: Junichi Koike, Akihiro Shibatomi
  • Patent number: 7816268
    Abstract: To provide a semiconductor device having a structure in which a barrier metal film containing nitrogen is formed in a connection surface between a copper alloy wiring and a via, in which the electric resistance between the copper alloy wiring and the via can be prevented from rising, and the electric resistance can be prevented from varying. A semiconductor device according to the present invention comprises a first copper alloy wiring, a via and a first barrier metal film. The first copper alloy wiring is formed in an interlayer insulation film and contains a predetermined additive element in a main component Cu. The via is formed in an interlayer insulation film and electrically connected to the upper surface of the first copper alloy wiring. The first barrier metal film is formed so as to be in contact with the first copper alloy wiring in the connection part between the first copper alloy wiring and the via and contains nitrogen.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: October 19, 2010
    Assignee: Renesas Elecronics Corporation
    Inventors: Takeshi Furusawa, Daisuke Kodama, Masahiro Matsumoto, Hiroshi Miyazaki
  • Patent number: 7816267
    Abstract: After a groove is formed in an insulating layer formed on a semiconductor substrate, a barrier metal layer is formed on the insulating layer by an ALD process so as to cover the side walls and bottom of the groove, and an impurity layer is formed in or on the surface of the barrier metal layer by an ion implantation process or by an ALD process. Thereafter, the barrier metal layer and the impurity layer are alloyed, and then an inlaid interconnect layer, which is composed of a Cu seed layer and a Cu plating layer, is formed in the groove. Then, an impurity element in the alloyed barrier metal layer is thermally diffused into the inlaid interconnect layer.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: October 19, 2010
    Assignee: Panasonic Corporation
    Inventor: Nobuo Aoi
  • Patent number: 7816266
    Abstract: The invention concerns a method of forming a copper portion surrounded by an insulating material in an integrated circuit structure, the insulating material being a first oxide, the method having steps including forming a composite material over a region of the insulating material where the copper portion is to be formed, the composite material having first and second materials, annealing such that the second material reacts with the insulating material to form a second oxide that provides a diffusion barrier to copper; and depositing a copper layer over the composite material by electrochemical deposition to form the copper portion.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: October 19, 2010
    Assignee: STMicroelectronics Crolles 2 SAS
    Inventors: Nicolas Jourdan, Joaquin Torres
  • Patent number: 7813133
    Abstract: A semiconductor device includes a board, a semiconductor element mounted on one of main surfaces of the board, a plurality of passive elements provided in the vicinity of the semiconductor element, and a heat radiation plate mounted above the board and connected to a rear surface of the semiconductor element via a heat conductive material. A surface roughness of a surface of the heat radiation plate which surface comes in contact with the heat conductive material is non-uniform at a whole of the surface.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: October 12, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Makoto Iijima, Seiji Ueno, Osamu Igawa
  • Patent number: 7811912
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a first insulation layer on a substrate; forming a damascene pattern in the first insulation layer; conducting a first process for forming metal lines in the damascene pattern; conducting a second process for forming a second insulation layer, having compressive stress greater than tensile stress of the metal lines, on the damascene pattern including the metal lines; forming a passivation layer on the substrate after multi-layered metal lines are formed by the first and second processes; and conducting an annealing process for the substrate including the passivation layer.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: October 12, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Geun Jang
  • Patent number: 7807560
    Abstract: A solder bump forming method of carrying out a reflow treatment over a conductive ball mounted on a plurality of pads, thereby forming a solder bump, includes a metal film forming step of forming a metal film capable of chemically reacting to a tackifying compound on the pads, an organic sticking layer forming step of causing a solution containing the tackifying compound to chemically react to the metal film, thereby forming an organic sticking layer on the metal film, and a conductive ball mounting step of supplying the conductive ball on the pads having the organic sticking layer formed thereon, thereby mounting the conductive ball on the pads through the metal film.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: October 5, 2010
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Kei Imafuji, Masao Nakazawa, Masaki Sanada, Sachiko Oda, Tadashi Kodaira, Kinji Nagata, Masaru Yamazaki, Kenjiro Enoki
  • Patent number: 7807572
    Abstract: A method forms a micropad to an external contact of a first semiconductor device. A stud of copper is formed over the external contact. The stud extends above a surface of the first semiconductor device. The stud of copper is immersed in a solution of tin. The tin replaces at least 95 percent of the copper of the stud and preferably more than 99 percent. The result is a tin micropad that has less than 5 percent copper by weight. Since the micropad is substantially pure tin, intermetallic bonds will not form during the time while the micropads of the first semiconductor device are not bonded. Smaller micropad dimensions result since intermetallic bonds do not form. When the first semiconductor device is bonded to an overlying second semiconductor device, the bond dimensions do not significantly increase the height of stacked chips.
    Type: Grant
    Filed: January 4, 2008
    Date of Patent: October 5, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Varughese Mathew, Eddie Acosta, Ritwik Chatterjee, Sam S. Garcia
  • Patent number: 7807568
    Abstract: Methods of processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include providing a substrate to a process chamber comprising a dielectric layer having a feature formed therein. A barrier layer may be formed within the feature. A coating of a first conductive material may be formed atop the barrier layer. A seed layer of the first conductive material may be formed atop the coating. The feature may be filled with a second conductive material. In some embodiments, the seed layer may be formed while maintaining the substrate at a temperature of greater than about 40 degrees Celsius.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, Arvind Sundarrajan
  • Publication number: 20100248472
    Abstract: A method of forming copper-comprising conductive lines in the fabrication of integrated circuitry includes depositing damascene material over a substrate. Line trenches are formed into the damascene material. Copper-comprising material is electrochemically deposited over the damascene material. The copper-comprising material is removed and the damascene material is exposed, and individual copper-comprising conductive lines are formed within individual of the line trenches. The damascene material is removed selectively relative to the conductive copper-comprising material. Dielectric material is deposited laterally between adjacent of the individual copper-comprising conductive lines. The deposited dielectric material is received against sidewalls of the individual copper-comprising conductive lines. A void is received laterally between immediately adjacent of the individual copper-comprising conductive lines within the deposited dielectric material. Other embodiments are contemplated.
    Type: Application
    Filed: April 19, 2010
    Publication date: September 30, 2010
    Applicant: Micron Technology, Inc.
    Inventor: Zailong Bian
  • Patent number: 7799674
    Abstract: A method for forming interconnect wiring, includes: (i) covering a surface of a connection hole penetrating through interconnect dielectric layers formed on a substrate for interconnect wiring, with an underlying alloy layer selected from the group consisting of an alloy film containing ruthenium (Ru) and at least one other metal atom (M), a nitride film thereof, a carbide film thereof, and an nitride-carbide film thereof, and (ii) filling copper or a copper compound in the connection hole covered with the underlying layer.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: September 21, 2010
    Assignee: ASM Japan K.K.
    Inventors: Hiroshi Shinriki, Hiroaki Inoue
  • Patent number: 7799684
    Abstract: A two-step semiconductor electroplating process deposits copper onto wafers coated with a semi-noble metal in manner that is uniform across the wafer and free of voids. A plating bath nucleates copper uniformly and conformably at a high density in a very thin film. A second bath fills the features. A unique pulsed waveform enhances the nucleation density and reduces resistivity of the very thin film deposited in the nucleation operation. The process produces a thinner and conformal copper seed film than traditional PVD copper seed processes.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: September 21, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan Reid, Seyang Park, Seshasayee Varadarajan, Natalia Doubina
  • Patent number: 7799681
    Abstract: A method for integrating ruthenium (Ru) metal cap layers and modified Ru metal cap layers into copper (Cu) metallization of semiconductor devices to improve electromigration (EM) and stress migration (SM) in bulk Cu metal. In one embodiment, the method includes providing a planarized patterned substrate containing a Cu metal surface and a dielectric layer surface, depositing first Ru metal on the Cu metal surface, and depositing additional Ru metal on the dielectric layer surface, where the amount of the additional Ru metal is less than the amount of the first Ru metal. The method further includes at least substantially removing the additional Ru metal from the dielectric layer surface to improve the selective formation of a Ru metal cap layer on the Cu metal surface. Other embodiments further include incorporating one or more types of modifier elements into the dielectric layer surface, the Cu metal surface, the Ru metal cap layer, or a combination thereof.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: September 21, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Frank M. Cerio, Jr., Miho Jomen, Shigeru Mizuno, Yasushi Mizusawa, Tadahiro Ishizaka
  • Patent number: 7799683
    Abstract: Capping layer or layers on a surface of a copper interconnect wiring layer for use in interconnect structures for integrated circuits and methods and apparatus for forming improved integration interconnection structures for integrated circuits by the application of gas-cluster ion-beam processing. Reduced copper diffusion and improved electromigration lifetime result and the use of selective metal capping techniques and their attendant yield problems are avoided. Various cluster tool configurations including gas-cluster ion-beam processing modules for copper capping, cleaning, etching, and film formation steps are disclosed.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: September 21, 2010
    Assignee: Tel Epion, Inc.
    Inventors: Arthur J. Learn, Steven R. Sherman, Robert Michael Geffken, John J. Hautala
  • Publication number: 20100233863
    Abstract: To provide a technique capable of suppressing the diffusion of copper atoms adhering to the back face of a semiconductor substrate from the back face into the inside of the semiconductor substrate, and capable of suppressing performance degradation of semiconductor elements such as a MISFET formed at the main face of the semiconductor substrate, in semiconductor devices using copper wiring for a wiring layer. A copper diffusion prevention film formed at the main face of the semiconductor substrate is denoted by a first copper diffusion prevention film, and a copper diffusion prevention film formed at the back face of the semiconductor substrate is denoted by a second copper diffusion prevention film. The characteristic of the embodiment lies in that the second copper diffusion prevention film is formed at the back face of the semiconductor substrate.
    Type: Application
    Filed: March 10, 2010
    Publication date: September 16, 2010
    Inventors: Takeshi Kawamura, Masakazu Okada
  • Patent number: 7795145
    Abstract: A method of patterning the surface of a substrate with at least one organic semiconducting compound including: (a) providing a stamp having a surface including a plurality of indentations formed therein defining an indentation pattern contiguous with a stamping surface and defining a stamping pattern, (b) coating the stamping surface with at least one compound (C1) capable of binding to the surface of the substrate and at least one organic semiconducting compound (S), (c) contacting at least a portion of the surface of a substrate with the stamping surface to allow deposition of the compound (C1) on the substrate, (d) removing the stamping surface to provide a pattern of binding sites on the surface of the substrate, (e) applying a plurality of crystallites of the organic semiconducting compound (S) to the surface of the substrate to bind at least a portion of the applied crystallites to the binding sites on the surface of the substrate.
    Type: Grant
    Filed: February 15, 2006
    Date of Patent: September 14, 2010
    Assignees: BASF Aktiengesellschaft, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Marcos Gomez, Peter Erk, Frauke Richter, Zhenan Bao, Shuhong Liu
  • Patent number: 7795150
    Abstract: A method for improving the reliability of integrated circuits. In one embodiment, the method includes forming a dielectric layer on a semiconductor wafer. A trench is then formed in the dielectric. Thereafter, a conductive interconnect is formed within the trench, wherein the conductive interconnect comprises copper. The conductive interconnect is then etched using an acidic solution. Lastly, a conductive layer is formed on an exposed surface of the etched conductive interconnect.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: September 14, 2010
    Assignee: Renesas Electronics America Inc.
    Inventors: Elvis M. Chan, Bradley S. Withers
  • Patent number: 7790497
    Abstract: The present method of fabricating a resistive memory device includes the steps of providing a first electrode, oxidizing a portion of the first electrode with an oxidizing agent, providing a metal body on the oxidized portion of the first electrode, oxidizing the entire metal body with an oxidizing agent, and providing a second electrode on the oxidized metal body.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: September 7, 2010
    Assignee: Spansion LLC
    Inventors: Steven Avanzino, Jeffrey A. Shields, Joffre Bernard, Suzette K. Pangrle
  • Patent number: 7790617
    Abstract: A method of fabrication of a sputtered metal silicide layer over a copper interconnect. We form a dielectric layer over a conductive layer. We form an interconnect opening in the dielectric layer. We form a copper layer at least filling the interconnect opening. We planarize the copper layer to form a copper interconnect in the interconnect opening. The copper interconnect is over polished to form a depression. We form metal silicide layer over the copper interconnect using a low temperature sputtering process. We can form a cap layer over the metal silicide layer.
    Type: Grant
    Filed: November 12, 2005
    Date of Patent: September 7, 2010
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Yeow Kheng Lim, Wei Lu, Liang Choo Hsia, Jyoti Gupta, Chim Seng Seet, Hao Zhang
  • Patent number: 7791897
    Abstract: A multi-layer imbedded capacitance and resistance substrate core. At least one layer of resistance material is provided. The layer of resistance material has a layer of electrically conductive material embedded therein. At least one layer of capacitance material of high dielectric constant is disposed on the layer of resistance material. Thru-holes are formed by laser.
    Type: Grant
    Filed: September 9, 2008
    Date of Patent: September 7, 2010
    Assignee: Endicott Interconnect Technologies, Inc.
    Inventors: Rabindra N. Das, John M. Lauffer, Irving Memis, Steven G. Rosser
  • Patent number: 7781318
    Abstract: Disclosed are a semiconductor device and a method for manufacturing the same, capable of improving the performance of a barrier and inhibiting a discontinuous step coverage and an overhang. The semiconductor device includes an interlayer dielectric layer having a via hole disposed on a semiconductor substrate, a first layer disposed in the via hole and including ruthenium (Ru), a second layer disposed on the first layer and including ruthenium oxide (RuO2), and a metal line disposed on the second layer and including a copper material.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: August 24, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jae Hong Kim
  • Patent number: 7781325
    Abstract: Copper pillar tin bump on semiconductor chip comprises a copper layer composed on chip and a tin layer entirely wrapping whole outer surface of said copper layer. A method for forming of the copper pillar tin bump on semiconductor chip comprises: composing the first copper layer on said chip; applying photoresist to said first copper layer, exposing and developing a part of said photoresist, composing the copper pillar layer at the developed part of photoresist, composing the upper tin layer, removing said photoresist, removing said the first copper layer except disposing place of copper pillar layer, composing side tin layer. The minute pattern makes it possible to form a high density packaging by reducing a pitch of copper pillar tin bump. Signal delay can be reduced by low electric resistance, and underfill can be easily soaked.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: August 24, 2010
    Assignee: Hwaback Engineering Co., Ltd.
    Inventors: Kang Lee, Sang Jeen Hong
  • Patent number: 7781339
    Abstract: A method of fabricating semiconductor interconnections is provided which can form a Ti-rich layer as a barrier layer and which can embed pure Cu material as interconnection material into every corner of grooves provided in an insulating film even when the grooves have a narrow minimum width and are deep. The method may include the steps of forming one or more grooves in an insulating film on a semiconductor substrate, the recess having a minimum width of 0.15 ?m or less and a ratio of a depth of the groove to the minimum width thereof (depth/minimum width) of 1 or more, forming a Cu alloy thin film containing 0.5 to 10 atomic % of Ti in the groove of the insulated film along a shape of the groove in a thickness of 10 to 50 nm, forming a pure Cu thin film in the groove with the Cu alloy thin film attached thereto, and annealing the substrate with the films at 350° C. or more to allow the Ti to be precipitated between the insulating film and the Cu alloy thin film.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: August 24, 2010
    Assignee: Kobe Steel, Ltd.
    Inventors: Takashi Onishi, Mikako Takeda, Masao Mizuno, Susumu Tsukimoto, Tatsuya Kabe, Toshifumi Morita, Miki Moriyama, Kazuhiro Ito, Masanori Murakami
  • Patent number: 7780867
    Abstract: Chemical etching methods and associated modules for performing the removal of metal from the edge bevel region of a semiconductor wafer are described. The methods and systems apply liquid etchant in a precise manner at the edge bevel region of the wafer, so that the etchant is applied on to the front edge, the side edge and the back edge. The etchant thus does not flow or splatter onto the active circuit region of the wafer. An edge bevel removal embodiment involving that is particularly effective at obviating streaking, narrowing the metal taper and allowing for subsequent chemical mechanical polishing, is disclosed.
    Type: Grant
    Filed: October 11, 2005
    Date of Patent: August 24, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Steven T. Mayer, Seshasayee Varadarajan, Douglas A. Preston
  • Patent number: 7776741
    Abstract: A semiconductor electroplating process deposits copper into the through silicon via hole to completely fill the through silicon via in a substantially void free is disclosed. The through silicon via may be more than about 3 micrometers in diameter and more that about 20 micrometers deep. High copper concentration and low acidity electroplating solution is used for deposition copper into the through silicon vias.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: August 17, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Reid, Katie Qun Wang, Mark J. Willey
  • Patent number: 7776743
    Abstract: Embodiments of methods for improving electrical leakage performance and minimizing electromigration in semiconductor devices containing metal cap layers are generally described herein. According to one embodiment, a method of forming a semiconductor device includes planarizing a top surface of a workpiece to form a substantially planar surface with conductive paths and dielectric regions, forming metal cap layers on the conductive paths, and exposing the top surface of the workpiece to a dopant source from a gas cluster ion beam (GCIB) to form doped metal cap layers on the conductive paths and doped dielectric layers on the dielectric regions. According to some embodiments the metal cap layers and the doped metal cap layers contain a noble metal selected from Pt, Au, Ru, Rh, Ir, and Pd.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: August 17, 2010
    Assignee: TEL Epion Inc.
    Inventors: Noel Russell, Frank M. Cerio, Jr., Gregory Herdt
  • Patent number: 7772124
    Abstract: A method for forming a through-silicon via bandpass filter includes forming a substrate comprising a silicon layer and providing a metal layer on a bottom side of the silicon layer. Additionally, the method includes providing a dielectric layer on a top side of the silicon layer and forming a top-side interconnect of the through-silicon via bandpass filter on a surface of the dielectric layer. Further, the method includes forming a plurality of contacts in the dielectric layer in contact with the top-side interconnect and forming a plurality through-silicon vias through the substrate and in contact with the plurality of contacts, respectively, and the metal layer.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: August 10, 2010
    Assignee: International Business Machines Corporation
    Inventors: Amit Bavisi, Hanyi Ding, Guoan Wang, Wayne H. Woods, Jr., Jiansheng Xu
  • Patent number: 7772699
    Abstract: A semiconductor device includes an electrode pad formed on a pad forming surface of a semiconductor integrated circuit chip, and a step formed on the pad forming surface to surround the electrode pad. A method of manufacturing the semiconductor device includes forming a metal film on a pad forming surface of a semiconductor integrated circuit chip, forming an electrode pad on a pad forming surface by selectively etching a metal film using a first mask pattern and forming a step to surround the electrode pad by selectively etching the pad forming surface using a second mask pattern.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: August 10, 2010
    Assignee: NEC Corporation
    Inventor: Shinya Hirata
  • Patent number: 7767585
    Abstract: A method of cleaning for removing metal compounds attached to a surface of a substrate, wherein the cleaning is conducted by supplying a supercritical fluid of carbon dioxide comprising at least one of triallylamine and tris(3-aminopropyl)amine to the surface of the substrate and a process for producing a semiconductor device using the method of cleaning are provided. In accordance with the method of cleaning and the method for producing a semiconductor device using the method, etching residues or polishing residues containing metal compounds are efficiently removed selectively from the electroconductive material forming the electroconductive layer. When the electroconductive layer is a wiring, an increase in resistance due to residual metal compounds can be suppressed, and an increase in the leak current due to diffusion of the metal from the metal compounds to the insulating film can be prevented. Therefore, reliability on the wiring is improved, and the yield of the semiconductor device can be increased.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: August 3, 2010
    Assignees: Sony Corporation, Mitsubishi Gas Chemical Company, Inc.
    Inventors: Koichiro Saga, Kenji Yamada, Tomoyuki Azuma, Yuji Murata
  • Publication number: 20100187693
    Abstract: Provided are methods and apparatuses for depositing barrier layers for blocking diffusion of conductive materials from conductive lines into dielectric materials in integrated circuits. The barrier layer may contain copper. In some embodiments, the layers have conductivity sufficient for direct electroplating of conductive materials without needing intermediate seed layers. Such barrier layers may be used with circuits lines that are less than 65 nm wide and, in certain embodiments, less than 40 nm wide. The barrier layer may be passivated to form easily removable layers including sulfides, selenides, and/or tellurides of the materials in the layer.
    Type: Application
    Filed: January 26, 2009
    Publication date: July 29, 2010
    Inventors: Thomas W. Mountsier, Roey Shaviv, Steven T. Mayer, Ronald A. Powell
  • Patent number: 7763537
    Abstract: Disclosed are a metal interconnection of a semiconductor device and a method for manufacturing the same, capable of improving the reliability of the semiconductor device. The metal interconnection of the semiconductor device includes a first metal interconnection formed on a semiconductor substrate; an interlayer dielectric layer formed on the semiconductor substrate including the first metal interconnection, the interlayer dielectric layer being selectively removed to form a via hole and a trench on the via hole; a metal diffusion blocking layer formed in the via hole and the trench formed on the via hole; a second metal interconnection buried in the via hole and the trench below a top portion of the metal diffusion blocking layer; and a protection layer covering the interlayer dielectric layer, the metal diffusion blocking layer, and the second metal interconnection.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: July 27, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: In Kyu Chun
  • Patent number: 7759247
    Abstract: This invention provides a semiconductor device and a manufacturing method thereof which can minimize deterioration of electric characteristics of the semiconductor device without increasing an etching process. In the semiconductor device of the invention, a pad electrode layer formed of a first barrier layer and an aluminum layer laminated thereon is formed on a top surface of a semiconductor substrate. A supporting substrate is further attached on the top surface of the semiconductor substrate. A second barrier layer is formed on a back surface of the semiconductor substrate and in a via hole formed from the back surface of the semiconductor substrate to the first barrier layer. Furthermore, a re-distribution layer is formed in the via hole so as to completely fill the via hole or so as not to completely fill the via hole. A ball-shaped terminal is formed on the re-distribution layer.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: July 20, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Kojiro Kameyama, Akira Suzuki, Yoshio Okayama
  • Patent number: 7749892
    Abstract: An interconnect in provided which comprises a copper conductor having both a top surface and a lower surface, with caps formed on the top surface of the metallic conductor. The cap is formed of dual laminations or multiple laminations of films with the laminated films including an Ultra-Violet (UV) blocking film and a diffusion barrier film. The diffusion barrier film and the UV blocking film may be separated by an intermediate film.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: July 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Griselda Bonilla, Christos D. Dimitrakopoulos, Son V. Nguyen, Alfred Grill, Satyanarayana V. Nitta, Darryl D. Restaino, Terry A. Spooner
  • Patent number: 7749906
    Abstract: Incompatible materials, such as copper and nitrided barrier layers, may be adhered more effectively to one another. In one embodiment, a precursor of copper is deposited on the nitrided barrier. The precursor is then converted, through the application of energy, to copper which could not have been as effectively adhered to the barrier in the first place.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: July 6, 2010
    Assignee: Intel Corporation
    Inventors: Juan E. Dominguez, Adrien R. Lavoie, John J. Plombon, Joseph H. Han, Harsono S. Simka
  • Patent number: RE41538
    Abstract: A method for making an integrated circuit device includes forming at least one interconnect structure adjacent a substrate by forming at least one barrier layer, forming a doped copper seed layer on the at least one barrier layer, and forming a copper layer on the doped copper seed layer. The method may further include annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer. The doped copper seed layer may include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant to provide the enhanced electromigration resistance. Forming the copper layer may comprise plating the copper layer. In addition, forming the copper layer may comprise forming the copper layer to include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: August 17, 2010
    Inventor: James A. Cunningham
  • Patent number: RE41653
    Abstract: Disclosed herein is a method of forming a metal wiring of a semiconductor device.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: September 7, 2010
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Dong Joon Kim