At Least One Layer Formed By Reaction With Substrate Patents (Class 438/762)
  • Patent number: 11887892
    Abstract: A method for forming a semiconductor die, includes forming an interlayer dielectric layer on a substrate having a semiconductor die region, a seal-ring region, and a scribe line region, forming a metal pad and a test pad on the interlayer dielectric layer, forming a passivation dielectric layer on the interlayer dielectric layer, the metal pad, and the test pad, first etching the passivation dielectric layer and the interlayer dielectric layer existing between the seal-ring region and the scribe line region to a predetermined depth using a plasma etching process, second etching the passivation dielectric layer to expose the metal pad and the test pad, forming a bump on the metal pad, and dicing the substrate while removing the scribe line region by mechanical sawing.
    Type: Grant
    Filed: April 15, 2021
    Date of Patent: January 30, 2024
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventors: Jin Won Jeong, Jang Hee Lee, Young Hun Jun, Jong Woon Lee, Jae Sik Choi
  • Patent number: 11817314
    Abstract: There is provided a technique that includes: forming a film containing Si, O and N or a film containing Si and O on a substrate by performing a cycle a predetermined number of times under a condition where SiCl4 is not gas-phase decomposed, the cycle including non-simultaneously performing: (a) forming NH termination on a surface of the substrate by supplying a first reactant containing N and H to the substrate; (b) forming a SiN layer having SiCl termination formed on its surface by supplying the SiCl4 as a precursor to the substrate to react the NH termination formed on the surface of the substrate with the SiCl4; and (c) reacting the SiN layer having the SiCl termination with a second reactant containing O by supplying the second reactant to the substrate.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: November 14, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Katsuyoshi Harada, Yoshitomo Hashimoto, Tatsuru Matsuoka
  • Patent number: 11702748
    Abstract: An assembly for use in a process chamber for depositing a film on a wafer. The assembly includes a pedestal having a pedestal top surface extending from a central axis of the pedestal to an outer edge, the pedestal top surface having a plurality of wafer supports for supporting a wafer. A pedestal step having a step surface extending from a step inner diameter towards the outer edge of the pedestal. A focus ring rests on the step surface and having a mesa extending from an outer diameter of the focus ring to a mesa inner diameter. A shelf steps downwards from a mesa surface at the mesa inner diameter, and extends between the mesa inner diameter and an inner diameter of the focus ring. The shelf is configured to support at least a portion of a wafer bottom surface of the wafer at a process temperature.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: July 18, 2023
    Assignee: Lam Research Corporation
    Inventors: Geoffrey Hohn, Huatan Qiu, Rachel Batzer, Guangbi Yuan, Zhe Gui
  • Patent number: 11631747
    Abstract: The present application provides a method for preparing a semiconductor device with an air gate spacer for reducing parasitic capacitance. The method includes forming a stacking structure on a semiconductor substrate; forming a first sidewall spacer, a second sidewall spacer and a sacrificial sidewall spacer on a sidewall of the stacking structure; and removing the sacrificial sidewall spacer to form an air gap between the first and second sidewall spacers. The sacrificial sidewall spacer is located between the first and second sidewall spacers, and the first and second sidewall spacers have an etching selectivity with respect to the sacrificial sidewall spacer.
    Type: Grant
    Filed: January 21, 2022
    Date of Patent: April 18, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Tse-Yao Huang
  • Patent number: 11393674
    Abstract: A method includes placing a wafer into a process chamber, and depositing a silicon nitride layer on a base layer of the wafer. The process of depositing the silicon nitride layer includes introducing a silicon-containing precursor into the process chamber, purging the silicon-containing precursor from the process chamber, introducing hydrogen radicals into the process chamber, purging the hydrogen radicals from the process chamber; introducing a nitrogen-containing precursor into the process chamber, and purging the nitrogen-containing precursor from the process chamber.
    Type: Grant
    Filed: May 18, 2018
    Date of Patent: July 19, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wei-Che Hsieh, Ching Yu Huang, Hsin-Hao Yeh, Chunyao Wang, Tze-Liang Lee
  • Patent number: 11322392
    Abstract: Embodiments of hybrid-bonded semiconductor structures and methods for forming a hybrid-bonded semiconductor structure are disclosed. The method can include providing a substrate and forming a base dielectric layer on the substrate. The method also includes forming first and second conductive structures in the base dielectric layer and disposing an alternating dielectric layer stack. Disposing alternating dielectric layer stack includes disposing a first dielectric layer on the base dielectric layer and the first and second conductive structures and sequentially disposing second, third, and fourth dielectric layers. The method further includes planarizing the disposed alternating dielectric layer stack and etching the alternating dielectric layer stack to form first and second openings using preset etching rates for each of the first, second, third, and fourth dielectric layers. The etching continues until at least portions of the first and second conductive structures are exposed.
    Type: Grant
    Filed: September 1, 2020
    Date of Patent: May 3, 2022
    Assignee: Yangtze Memory Technologies Co., Ltd.
    Inventors: Meng Yan, Jifeng Zhu, Si Ping Hu
  • Patent number: 10964778
    Abstract: In a described example, an integrated circuit includes a capacitor first plate; a dielectric stack over the capacitor first plate comprising silicon nitride and silicon dioxide with a capacitance quadratic voltage coefficient less than 0.5 ppm/V2; and a capacitor second plate over the dielectric stack.
    Type: Grant
    Filed: February 22, 2018
    Date of Patent: March 30, 2021
    Assignee: Texas Instruments Incorporated
    Inventors: Poornika Fernandes, Luigi Colombo, Haowen Bu
  • Patent number: 10854626
    Abstract: Embodiments of 3D memory device having channel structures with a native oxide layer and methods for forming the same are disclosed. In an example, a method for forming a 3D memory device is disclosed. A dielectric stack is formed on a substrate. The dielectric stack includes interleaved first dielectric layers and second dielectric layers on a substrate. An opening extending vertically through the dielectric stack is formed. A native oxide layer is formed along a sidewall of the opening. The native oxide layer includes native oxide of at least some of the first dielectric layers. A deposited oxide layer, a storage layer, a tunneling layer, and a semiconductor channel are subsequently formed in this order over the native oxide layer and along the sidewall of the opening. A memory stack includes interleaved conductor layers and the second dielectric layers is formed by replacing, with the conductor layers, the first dielectric layers in the dielectric stack.
    Type: Grant
    Filed: November 17, 2018
    Date of Patent: December 1, 2020
    Assignee: YANGTZE MEMORY TECHNOLOGIES CO., LTD.
    Inventors: Qiguang Wang, Lei Jin, Hongtao Liu
  • Patent number: 10832909
    Abstract: Methods and apparatuses for patterning carbon-containing material over a layer to be etched are provided herein. Methods involve trimming carbon-containing material by atomic layer etching including exposing the carbon-containing material to an oxygen-containing gas without a plasma to modify a surface of the carbon-containing material and exposing the carbon-containing material to an inert gas and igniting a plasma to remove the modified surface of the carbon-containing material. Methods may be used for multiple patterning techniques such as double and quad patterning. Methods also include depositing a conformal film over a carbon-containing material patterned using atomic layer etching without breaking vacuum. The oxygen-containing gas may be one containing any one or more of oxygen, ozone, water vapor, nitrous oxide, carbon monoxide, formic acid vapor and/or carbon dioxide.
    Type: Grant
    Filed: April 17, 2018
    Date of Patent: November 10, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Adrien LaVoie, Puikit Agarwal, Purushottam Kumar
  • Patent number: 10763108
    Abstract: Provided are methods for the selective deposition of material on a sidewall surface of a patterned feature. In some embodiments, the methods involve providing a substrate having a feature recessed from a surface of the substrate. The feature has a bottom and a sidewall which extends from the bottom. A conformal film is deposited on the feature using an atomic layer deposition (ALD) process. The conformal film deposited on the bottom is modified by exposing the substrate to directional plasma such that the conformal film on the bottom is less dense than the conformal film on the sidewall. The modified conformal film deposited on the bottom of the feature is preferentially etched. Also provided are methods for the selective deposition on a horizontal surface of a patterned feature.
    Type: Grant
    Filed: August 18, 2017
    Date of Patent: September 1, 2020
    Assignee: Lam Research Corporation
    Inventors: Dennis M. Hausmann, Alexander R. Fox, David Charles Smith, Bart J. van Schravendijk
  • Patent number: 9978937
    Abstract: Some embodiments include methods utilizing atomic layer deposition to form material containing silicon and nitrogen (e.g., silicon nitride). The atomic layer deposition uses Sil4 as one precursor and uses a nitrogen-containing material as another precursor. Some embodiments include methods of forming a structure in which a chalcogenide region is formed over a semiconductor substrate; and in which Sil4 is used as a precursor during formation of silicon nitride material directly against a surface of the chalcogenide region.
    Type: Grant
    Filed: May 13, 2016
    Date of Patent: May 22, 2018
    Assignee: Micron Technology, Inc.
    Inventor: Eugene P. Marsh
  • Patent number: 9704904
    Abstract: An embodiment isolation structure includes a first passivation layer over a bottom surface and extending along sidewalls of a trench in a semiconductor substrate, wherein the first passivation layer includes a first dielectric material. The semiconductor device further includes a passivation oxide layer in the trench on the first passivation layer, wherein the passivation oxide layer includes an oxide of the first dielectric material and has a higher atomic percentage of oxygen than the first passivation layer, The semiconductor device further includes a second passivation layer in the trench on the passivation oxide layer, wherein the second passivation layer also includes the first dielectric material and has a lower atomic percentage of oxygen than the passivation oxide layer.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: July 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yu Lai, Cheng-Hsien Chou, Cheng-Yuan Tsai, Yen-Ting Chiang, Yeur-Luen Tu
  • Patent number: 9431236
    Abstract: A method of manufacturing a semiconductor device includes forming a thin film containing a specific element, oxygen, carbon, and nitrogen by performing a cycle a predetermined number of times. The cycle includes supplying a specific element-containing gas, supplying a carbon-containing gas, supplying an oxidizing gas, and supplying a nitriding gas. The act of supplying the nitriding gas is performed before the act of supplying the specific element-containing gas, and the act of supplying the carbon-containing gas and the act of supplying the oxidizing gas are not performed until the act of supplying the specific element-containing gas is performed.
    Type: Grant
    Filed: January 12, 2015
    Date of Patent: August 30, 2016
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Ryota Sasajima, Yoshinobu Nakamura
  • Patent number: 9293335
    Abstract: A method of fabricating a semiconductor device including forming a charge storage layer, and forming a first tunnel insulating layer covering the charge storage layer, the forming of the first tunnel insulating layer including heat treating the charge storage layer.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: March 22, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: JinGyun Kim, Myoungbum Lee, Seungmok Shin
  • Patent number: 9178105
    Abstract: A device, system, and method for solar cell construction and layer transfer are disclosed herein. An exemplary method of solar cell construction involves providing a silicon donor substrate. A porous layer is formed on the donor substrate. A first portion of a solar cell is constructed on the porous layer of the donor substrate. The solar cell and donor substrate are bonded to a flexible substrate. The flexible substrate and the first portion of a solar cell are then separated from the donor substrate at the porous layer. A second portion of a solar cell may then be constructed on the first portion of a solar cell providing a single completed solar cell.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: November 3, 2015
    Assignee: Amberwave Inc.
    Inventors: Anthony Lochtefeld, Chris Leitz
  • Publication number: 20150140833
    Abstract: Embodiments of the invention generally relate to methods of forming an etch resistant silicon-carbon-nitrogen layer. The methods generally include activating a silicon-containing precursor and a nitrogen-containing precursor in the processing region of a processing chamber in the presence of a plasma and depositing a thin flowable silicon-carbon-nitrogen material on a substrate using the activated silicon-containing precursor and a nitrogen-containing precursor. The thin flowable silicon-carbon-nitrogen material is subsequently cured using one of a variety of curing techniques. A plurality of thin flowable silicon-carbon-nitrogen material layers are deposited sequentially to create the final layer.
    Type: Application
    Filed: January 13, 2014
    Publication date: May 21, 2015
    Inventors: Kiran V. THADANI, Abhijit Basu MALLICK, Nitin INGLE
  • Publication number: 20150126043
    Abstract: A method of manufacturing a semiconductor device includes forming a thin film containing a specific element, oxygen, carbon, and nitrogen by performing a cycle a predetermined number of times. The cycle includes supplying a specific element-containing gas, supplying a carbon-containing gas, supplying an oxidizing gas, and supplying a nitriding gas. The act of supplying the nitriding gas is performed before the act of supplying the specific element-containing gas, and the act of supplying the carbon-containing gas and the act of supplying the oxidizing gas are not performed until the act of supplying the specific element-containing gas is performed.
    Type: Application
    Filed: January 12, 2015
    Publication date: May 7, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Ryota SASAJIMA, Yoshinobu NAKAMURA
  • Patent number: 9018104
    Abstract: There is provided a method for manufacturing a semiconductor device, including forming an insulating film having a prescribed composition and a prescribed film thickness on a substrate by alternately performing the following steps prescribed number of times: supplying one of the sources of a chlorosilane-based source and an aminosilane-based source to a substrate in a processing chamber, and thereafter supplying the other source, to form a first layer containing silicon, nitrogen, and carbon on the substrate; and supplying a reactive gas different from each of the sources, to the substrate in the processing chamber, to modify the first layer and form a second layer.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: April 28, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yoshiro Hirose, Kenji Kanayama, Norikazu Mizuno, Yushin Takasawa, Yosuke Ota
  • Patent number: 9006064
    Abstract: A gate dielectric can be formed by depositing a first silicon oxide material by a first atomic layer deposition process. The thickness of the first silicon oxide material is selected to correspond to at least 10 deposition cycles of the first atomic layer deposition process. The first silicon oxide material is converted into a first silicon oxynitride material by a first plasma nitridation process. A second silicon oxide material is subsequently deposited by a second atomic layer deposition process. The second silicon oxide material is converted into a second silicon oxynitride material by a second plasma nitridation process. Multiple repetitions of the atomic layer deposition process and the plasma nitridation process provides a silicon oxynitride material having a ratio of nitrogen atoms to oxygen atoms greater than 1/3, which can be advantageously employed to reduce the leakage current through a gate dielectric.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Chudzik, Barry P. Linder, Shahab Siddiqui
  • Patent number: 8999860
    Abstract: The process for the production of at least one silicon-based nanoelement (4), in particular a nanowire, comprises the following stages: providing a substrate comprising, at the surface, a first layer (1) comprising electrically doped silicon; forming, on the first layer (1), a second layer (2) based on silicon oxide with carbon atoms (3) dispersed in the said second layer (2); and exposing the first and second layers (1, 2) to an oxidizing atmosphere, so as to oxidize at least a first section (1a) of the first layer (1) at the interface of the said first layer (1) with the second layer (2) and to form the said at least one nanoelement (4) at the said first section (1a).
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: April 7, 2015
    Assignee: Commissariat a l'energie Atomique et aux Energies Alternatives
    Inventors: Vincent Larrey, Laurent Vandroux, Audrey Berthelot, Marie-Helene Vaudaine
  • Patent number: 8999858
    Abstract: The substrate processing apparatus includes a reaction chamber configured to accommodate a substrate; a first gas supply unit configured to supply a first process gas containing a silicon element to the substrate; a second gas supply unit configured to supply a second process gas containing a silicon element and a chlorine element to the substrate; an exhaust unit configured to exhaust the first process gas and the second process gas; a cleaning gas bypass supply unit configured to supply a cleaning gas to the exhaust unit; a cleaning monitoring unit installed in the exhaust unit; a gas flow rate control unit configured to adjust an amount of the cleaning gas supplied; and a main control unit configured to control the gas flow rate control unit in response to a signal received from the cleaning gas monitoring unit.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: April 7, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yasunobu Koshi, Kenichi Suzaki, Akihito Yoshino
  • Patent number: 8999842
    Abstract: A method of manufacturing a semiconductor device with a cap layer for a copper interconnect structure formed in a dielectric layer is provided. In an embodiment, a conductive material is embedded within a dielectric layer, the conductive material comprising a first material and having either a recess, a convex surface, or is planar. The conductive material is silicided to form an alloy layer. The alloy layer comprises the first material and a second material of germanium, arsenic, tungsten, or gallium.
    Type: Grant
    Filed: July 21, 2014
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Publication number: 20150064929
    Abstract: A method of gap filling includes providing a substrate having a plurality of gaps formed therein. Then, an in-situ steam generation oxidation is performed to form an oxide liner on the substrate. The oxide liner is formed to cover surfaces of the gaps. Subsequently, a high aspect ratio process is performed to form an oxide protecting layer on the oxide liner. After forming the oxide protecting layer, a flowable chemical vapor deposition is performed to form an oxide filling on the oxide protecting layer. More important, the gaps are filled up with the oxide filling layer.
    Type: Application
    Filed: September 5, 2013
    Publication date: March 5, 2015
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: I-Ming Tseng, Shih-Hung Tsai, Rai-Min Huang, Yu-Ting Lin, Chien-Ting Lin, Shih-Fang Tzou
  • Patent number: 8951919
    Abstract: A method of manufacturing a semiconductor device includes forming a thin film containing a specific element, oxygen, carbon, and nitrogen by performing a cycle a predetermined number of times. The cycle includes supplying a specific element-containing gas, supplying a carbon-containing gas, supplying an oxidizing gas, and supplying a nitriding gas. The act of supplying the nitriding gas is performed before the act of supplying the specific element-containing gas, and the act of supplying the carbon-containing gas and the act of supplying the oxidizing gas are not performed until the act of supplying the specific element-containing gas is performed.
    Type: Grant
    Filed: April 11, 2014
    Date of Patent: February 10, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Ryota Sasajima, Yoshinobu Nakamura
  • Patent number: 8945302
    Abstract: Method for crystal growth from a surfactant of a metal-nonmetal (MN) compound, including the procedures of providing a seed crystal, introducing atoms of a first metal to the seed crystal thus forming a thin liquid metal wetting layer on a surface of the seed crystal, setting a temperature of the seed crystal below a minimal temperature required for dissolving MN molecules in the wetting layer and above a melting point of the first metal, each one of the MN molecules being formed from an atom of a second metal and an atom of a first nonmetal, introducing the MN molecules which form an MN surfactant monolayer, thereby facilitating a formation of the wetting layer between the MN surfactant monolayer and the surface of the seed crystal, and regulating a thickness of the wetting layer, thereby growing an epitaxial layer of the MN compound on the seed crystal.
    Type: Grant
    Filed: March 4, 2012
    Date of Patent: February 3, 2015
    Assignee: Mosaic Crystals Ltd.
    Inventor: Moshe Einav
  • Patent number: 8937016
    Abstract: A method of producing a patterned inorganic thin film element includes providing a substrate having a patterned thin layer of polymeric inhibitor on the surface. The substrate and the patterned thin layer of polymeric inhibitor are exposed to a highly reactive oxygen process. An inorganic thin film layer is deposited on the substrate in areas without inhibitor using an atomic layer deposition process.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: January 20, 2015
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, Shelby F. Nelson, Kurt D. Sieber
  • Publication number: 20150017812
    Abstract: Disclosed herein are methods of depositing layers of material on multiple semiconductor substrates at multiple processing stations within one or more reaction chambers. The methods may include dosing a first substrate with film precursor at a first processing station and dosing a second substrate with film precursor at a second processing station with precursor flowing from a common source, wherein the timing of said dosing is staggered such that the first substrate is dosed during a first dosing phase during which the second substrate is not substantially dosed, and the second substrate is dosed during a second dosing phase during which the first substrate is not substantially dosed. Also disclosed herein are apparatuses having a plurality of processing stations contained within one or more reaction chambers and a controller with machine-readable instructions for staggering the dosing of first and second substrates at first and second processing stations.
    Type: Application
    Filed: December 18, 2013
    Publication date: January 15, 2015
    Inventors: Ramesh Chandrasekharan, Adrien Lavoie, Damien Slevin, Karl Leeser
  • Patent number: 8927422
    Abstract: A method for forming a raised silicide contact including depositing a layer of silicon at a bottom of a contract trench using a gas cluster implant technique which accelerates clusters of silicon atoms causing them to penetrate a surface oxide on a top surface of the silicide, a width of the silicide and the contact trench are substantially equal; heating the silicide including the silicon layer to a temperature from about 300° C. to about 950° C. in an inert atmosphere causing silicon from the layer of silicon to react with the remaining silicide partially formed in the silicon containing substrate; and forming a raised silicide from the layer of silicon, wherein the thickness of the raised silicide is greater than the thickness of the silicide and the raised silicide protrudes above a top surface of the silicon containing substrate.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: January 6, 2015
    Assignee: International Business Machines Corporation
    Inventors: Emre Alptekin, Nathaniel Berliner, Christian Lavoie, Kam-Leung Lee, Ahmet Serkan Ozcan
  • Patent number: 8921236
    Abstract: A method of producing a patterned inorganic thin film element includes providing a substrate. A thin layer of polymeric inhibitor is uniformly depositing on the substrate. A patterned mask having open areas is provided on the thin layer of polymeric inhibitor. The thin layer of polymeric inhibitor is patterned by removing inhibitor from areas exposed by the open areas of the patterned mask using a highly reactive oxygen process. An inorganic thin film layer is deposited on the substrate in the areas exposed by the removal of the thin layer of polymeric inhibitor using an atomic layer deposition process.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: December 30, 2014
    Assignee: Eastman Kodak Company
    Inventors: Carolyn R. Ellinger, Shelby F. Nelson, Kurt D. Sieber
  • Patent number: 8901677
    Abstract: A germanium-containing semiconductor surface is prepared for formation of a dielectric overlayer (e.g., a thin layer of high-k gate dielectric) by (1) removal of native oxide, for example by wet cleaning, (2) additional cleaning with hydrogen species, (3) in-situ formation of a controlled monolayer of GeO2, and (4) in-situ deposition of the dielectric overlayer to prevent uncontrolled regrowth of native oxide. The monolayer of GeO2 promotes uniform nucleation of the dielectric overlayer, but it too thin to appreciably impact the effective oxide thickness of the dielectric overlayer.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: December 2, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Frank Greer, Edwin Adhiprakasha, Chi-I Lang, Ratsamee Limdulpaiboon, Sandip Niyogi, Kurt Pang, J. Watanabe
  • Patent number: 8859440
    Abstract: The method for forming wavelike coherent nanostructures by irradiating a surface of a material by a homogeneous flow of ions is disclosed. The rate of coherency is increased by applying preliminary preprocessing steps.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: October 14, 2014
    Assignee: Wostec, Inc.
    Inventors: Valery K. Smirnov, Dmitry S. Kibalov
  • Publication number: 20140256153
    Abstract: Multilayer dielectric structures are provided having silicon nitride (SiN) and silicon oxynitride (SiNO) films for use as capping layers, liners, spacer barrier layers, and etch stop layers, and other components of semiconductor nano-devices. For example, a semiconductor structure includes a multilayer dielectric structure having multiple layers of dielectric material including one or more SiN layers and one or more SiNO layers. The layers of dielectric material in the multilayer dielectric structure have a thickness in a range of about 0.5 nanometers to about 3 nanometers.
    Type: Application
    Filed: August 28, 2013
    Publication date: September 11, 2014
    Applicant: International Business Machines Corporation
    Inventors: Alfred Grill, Seth L. Knupp, Son V. Nguyen, Vamsi K. Paruchuri, Deepika Priyadarshini, Hosadurga K. Shobha
  • Patent number: 8822348
    Abstract: A dummy wafer structure and a method of forming the same are disclosed. The dummy wafer structure includes: a silicon substrate; a silicon nitride layer over the silicon substrate; and a silicon dioxide layer over the silicon nitride layer. The method includes: a first step of forming a silicon nitride layer over a silicon substrate so as to form a silicon-silicon nitride structure; and a second step of forming a silicon dioxide layer over the silicon-silicon nitride structure obtained in the first step so as to form a silicon-silicon nitride-silicon dioxide structure. Dummy wafers with this special structure are able to avoid deposition rate inconsistency in a polysilicon deposition process and are capable of avoiding conventional dummy wafers' adverse effect on deposit layer thicknesses of process wafers and hence providing the process wafers with deposit layers having a high inter-wafer uniformity.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: September 2, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Chuan Ren, Zhi Wang, HsuSheng Chang
  • Patent number: 8815751
    Abstract: There is provided a method of manufacturing a semiconductor device, including: forming a film containing a specific element, nitrogen, and carbon on a substrate, by alternately performing the following steps a specific number of times: a step of supplying a source gas containing the specific element and a halogen element, to the substrate; and a step of supplying a reactive gas composed of three elements of carbon, nitrogen, and hydrogen and having more number of a carbon atom than the number of a nitrogen atom in a composition formula thereof, to the substrate.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: August 26, 2014
    Assignees: Hitachi Kokusai Electric Inc., L'Air Liquide-Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Yoshiro Hirose, Atsushi Sano, Kazutaka Yanagita, Katsuko Higashino
  • Publication number: 20140227886
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method includes forming a thin film containing a predetermined element, boron, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes forming a first layer containing boron and a halogen group by supplying a first precursor gas containing boron and the halogen group to the substrate; and forming a second layer containing the predetermined element, boron, carbon, and nitrogen by supplying a second precursor gas containing the predetermined element and an amino group to the substrate and modifying the first layer.
    Type: Application
    Filed: February 7, 2014
    Publication date: August 14, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi SANO, Yoshiro HIROSE
  • Patent number: 8728954
    Abstract: A method of manufacturing a semiconductor device includes forming a thin film containing a specific element, oxygen, carbon, and nitrogen by performing a cycle a predetermined number of times. The cycle includes supplying a specific element-containing gas, supplying a carbon-containing gas, supplying an oxidizing gas, and supplying a nitriding gas. The act of supplying the nitriding gas is performed before the act of supplying the specific element-containing gas, and the act of supplying the carbon-containing gas and the act of supplying the oxidizing gas are not performed until the act of supplying the specific element-containing gas is performed.
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: May 20, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Ryota Sasajima, Yoshinobu Nakamura
  • Publication number: 20140077343
    Abstract: A dummy wafer structure and a method of forming the same are disclosed. The dummy wafer structure includes: a silicon substrate; a silicon nitride layer over the silicon substrate; and a silicon dioxide layer over the silicon nitride layer. The method includes: a first step of forming a silicon nitride layer over a silicon substrate so as to form a silicon-silicon nitride structure; and a second step of forming a silicon dioxide layer over the silicon-silicon nitride structure obtained in the first step so as to form a silicon-silicon nitride-silicon dioxide structure. Dummy wafers with this special structure are able to avoid deposition rate inconsistency in a polysilicon deposition process and are capable of avoiding conventional dummy wafers' adverse effect on deposit layer thicknesses of process wafers and hence providing the process wafers with deposit layers having a high inter-wafer uniformity.
    Type: Application
    Filed: December 28, 2012
    Publication date: March 20, 2014
    Applicant: Shanghai Huali Microelectronics Corporation
    Inventors: Chuan REN, Zhi WANG, HsuSheng CHANG
  • Publication number: 20140073142
    Abstract: A thin film having high HF resistance and a low dielectric constant can be formed in a low temperature range with a high productivity. A thin film including a predetermined element and a borazine ring skeleton is formed on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a source gas including the predetermined element and a halogen group to the substrate and supplying a reaction gas including a borazine compound to the substrate under a condition where the borazine ring skeleton in the borazine compound is maintained.
    Type: Application
    Filed: September 9, 2013
    Publication date: March 13, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro HIROSE, Atsushi SANO, Katsuyoshi HARADA, Satoshi SHIMAMOTO
  • Publication number: 20140057453
    Abstract: A process for plasma deposition of a coating is provided that includes exposure of a surface of a substrate to a source of adsorbate molecules to form a protective layer on the surface. The protective layer is then exposed in-line to a plasma volume to react the protective film to form the coating. This process occurs without an intermediate evacuation to remove the adsorbate molecules prior to contact with the plasma volume. As a result, kinetic ion impact damage to the surface is limited while efficient operation of the plasma deposition system continues.
    Type: Application
    Filed: February 10, 2012
    Publication date: February 27, 2014
    Inventors: John Madocks, Walter Seaman
  • Publication number: 20140054726
    Abstract: There is provided a fabrication technique of a MOS structure that has a small EOT without increasing the interface trap density. More specifically, provided is a method of producing a semiconductor wafer that includes a semiconductor crystal layer, an interlayer made of an oxide, nitride, or oxynitride of a semiconductor crystal constituting the semiconductor crystal layer, and a first insulating layer made of an oxide and in which the semiconductor crystal layer, the interlayer, and the first insulating layer are arranged in the stated order. The method includes (a) forming the first insulating layer on an original semiconductor crystal layer, and (b) exposing a surface of the first insulating layer with a nitrogen plasma to nitride, oxidize, or oxynitride a part of the original semiconductor crystal layer, thereby forming the interlayer, together with the semiconductor crystal layer that is the rest of the original semiconductor crystal layer.
    Type: Application
    Filed: August 23, 2013
    Publication date: February 27, 2014
    Applicants: THE UNIVERSITY OF TOKYO, SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Mitsuru TAKENAKA, Shinichi TAKAGI, Jaehoon HAN, Tomoyuki TAKADA, Takenori OSADA, Masahiko HATA
  • Publication number: 20140051260
    Abstract: A method of manufacturing a semiconductor device includes forming a thin film containing a specific element, oxygen, carbon, and nitrogen by performing a cycle a predetermined number of times. The cycle includes supplying a specific element-containing gas, supplying a carbon-containing gas, supplying an oxidizing gas, and supplying a nitriding gas. The act of supplying the nitriding gas is performed before the act of supplying the specific element-containing gas, and the act of supplying the carbon-containing gas and the act of supplying the oxidizing gas are not performed until the act of supplying the specific element-containing gas is performed.
    Type: Application
    Filed: August 9, 2013
    Publication date: February 20, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Ryota SASAJIMA, Yoshinobu NAKAMURA
  • Patent number: 8637955
    Abstract: A semiconductor structure is formed with a NFET device and a PFET device. The NFET device is formed by masking the PFET device regions of a substrate, forming a screen layer through epitaxial growth and in-situ doping, and forming an undoped channel layer on the screen layer through epitaxial growth. The PFET device is similarly formed by masking the NFET regions of a substrate, forming a screen layer through epitaxial growth and in-situ doping, and forming an undoped channel layer on the screen layer through epitaxial growth. An isolation region is formed between the NFET and the PFET device areas to remove any facets occurring during the separate epitaxial growth phases. By forming the screen layer through in-situ doped epitaxial growth, a reduction in junction leakage is achieved versus forming the screen layer using ion, implantation.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: January 28, 2014
    Assignee: SuVolta, Inc.
    Inventors: Lingquan Wang, Teymur Bakhishev, Dalong Zhao, Pushkar Ranade, Sameer Pradhan, Thomas Hoffmann, Lucian Shifren, Lance Scudder
  • Patent number: 8618003
    Abstract: Electronic devices can be prepared by forming a patterned thin film on a suitable receiver substrate. A cyanoacrylate polymer is used as a deposition inhibitor material and applied first as a deposition inhibitor material. The deposition inhibitor material can be patterned to provide selected areas on the receiver substrate where the deposition inhibitor is absent. An inorganic thin film is then deposited on the receiver substrate using a chemical vapor deposition technique only in those areas where the deposition inhibitor material is absent. The cyanoacrylate polymer deposition inhibitor material can be applied by thermal transfer from a donor element to a receiver substrate before a patterned thin film is formed.
    Type: Grant
    Filed: December 5, 2011
    Date of Patent: December 31, 2013
    Assignee: Eastman Kodak Company
    Inventors: Mitchell S. Burberry, David H. Levy
  • Patent number: 8598706
    Abstract: A method for forming an interlayer dielectric film by a plasma CVD method, including turning off a radio frequency power and purging with an inert gas simultaneously.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: December 3, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Hironori Yamamoto, Fuminori Ito, Yoshihiro Hayashi
  • Publication number: 20130309826
    Abstract: A method for fabricating a nonvolatile charge trap memory device is described. The method includes subjecting a substrate to a first oxidation process to form a tunnel oxide layer overlying a polysilicon channel, and forming over the tunnel oxide layer a multi-layer charge storing layer comprising an oxygen-rich, first layer comprising a nitride, and an oxygen-lean, second layer comprising a nitride on the first layer. The substrate is then subjected to a second oxidation process to consume a portion of the second layer and form a high-temperature-oxide (HTO) layer overlying the multi-layer charge storing layer. The stoichiometric composition of the first layer results in it being substantially trap free, and the stoichiometric composition of the second layer results in it being trap dense. The second oxidation process can comprise a plasma oxidation process or a radical oxidation process using In-Situ Steam Generation.
    Type: Application
    Filed: July 1, 2012
    Publication date: November 21, 2013
    Applicant: CYPRESS SEMICONDUCTOR CORPORATION
    Inventors: Krishnaswamy RAMKUMAR, Sagy LEVY, Jeong BYUN
  • Patent number: 8563353
    Abstract: Described herein is a method and liquid-based precursor composition for depositing a multicomponent film. In one embodiment, the method and compositions described herein are used to deposit Germanium Tellurium (GeTe), Antimony Tellurium (SbTe), Antimony Germanium (SbGe), Germanium Antimony Tellurium (GST), Indium Antimony Tellurium (IST), Silver Indium Antimony Tellurium (AIST), Cadmium Telluride (CdTe), Cadmium Selenide (CdSe), Zinc Telluride (ZnTe), Zinc Selenide (ZnSe), Copper indium gallium selenide (CIGS) films or other tellurium and selenium based metal compounds for phase change memory and photovoltaic devices.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: October 22, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Liu Yang, Xinjian Lei, Iain Buchanan
  • Patent number: 8536017
    Abstract: A polysilazane film is formed over the main surface of a semiconductor substrate in such a manner that the upper surface level of the polysilazane film buried in a trench of 0.2 ?m or less in width becomes higher than that of a pad insulating film and the upper surface level of the polysilazane film buried in a trench of 1.0 ?m or more in width becomes lower than that of the pad insulating film. Then, heat treatment is conducted at 300° C. or more to convert the polysilazane film into a first buried film made of silicon oxide (SiO2) and remove a void in the upper portion of the narrower trench.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: September 17, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Masaru Kadoshima, Hiroshi Umeda, Tatsunori Kaneoka, Katsuyuki Horita
  • Patent number: 8536066
    Abstract: Methods of forming an oxide layer on silicon carbide include thermally growing an oxide layer on a layer of silicon carbide, and annealing the oxide layer in an environment containing NO at a temperature greater than 1175° C. The oxide layer may be annealed in NO in a silicon carbide tube that may be coated with silicon carbide. To form the oxide layer, a preliminary oxide layer may be thermally grown on a silicon carbide layer in dry O2, and the preliminary oxide layer may be re-oxidized in wet O2.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: September 17, 2013
    Assignee: Cree, Inc.
    Inventors: Mrinal K. Das, Brett Hull, Sumi Krishnaswami
  • Patent number: 8524612
    Abstract: Embodiments related to depositing thin conformal films using plasma-activated conformal film deposition (CFD) processes are described herein. In one example, a method of processing a substrate includes, applying photoresist to the substrate, exposing the photoresist to light via a stepper, patterning the resist with a pattern and transferring the pattern to the substrate, selectively removing photoresist from the substrate, placing the substrate into a process station, and, in the process station, in a first phase, generating radicals off of the substrate and adsorbing the radicals to the substrate to form active species, in a first purge phase, purging the process station, in a second phase, supplying a reactive plasma to the surface, the reactive plasma configured to react with the active species and generate the film, and in a second purge phase, purging the process station.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: September 3, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Ming Li, Hu Kang, Mandyam Sriram, Adrien LaVoie
  • Patent number: RE46389
    Abstract: A nonvolatile memory device and a method of forming the nonvolatile memory device, the method including forming a tunnel insulating layer on a substrate, wherein forming the tunnel insulating layer includes forming a multi-element insulating layer by a process including sequentially supplying a first element source, a second element source, and a third element source to the substrate, forming a charge storage layer on the tunnel insulating layer, forming a blocking insulating layer on the charge storage layer, and forming a control gate electrode on the blocking insulating layer.
    Type: Grant
    Filed: April 15, 2015
    Date of Patent: May 2, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Juwan Lim, Sungkweon Baek, Kwangmin Park, Seungjae Baik, Kihyun Hwang