Radiation Resist Patents (Class 438/948)
  • Patent number: 11513287
    Abstract: The present disclosure provides a waveguide structure including an optical component. The optical component includes a plurality of grating coupler teeth over a semiconductive substrate and a plurality of grating coupler openings between adjacent grating coupler teeth, wherein the grating coupler openings are configured to receive a light wave. Each of the grating coupler teeth includes a dielectric stack and an etch stopper embedded in the dielectric stack, wherein the etch stopper has a resistance to a fluorine solution that is higher than that of the dielectric stack. A method of manufacturing a semiconductor device is also provided.
    Type: Grant
    Filed: July 13, 2020
    Date of Patent: November 29, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Kai-Fung Chang, Lien-Yao Tsai, Chien Shih Tsai, Shih-Che Hung
  • Patent number: 9041181
    Abstract: A land grid array (LGA) package including a substrate having a plurality of lands formed on a first surface of the substrate, a semiconductor chip mounted on a second surface of the substrate, a connection portion connecting the semiconductor chip and the substrate, and a support layer formed on part of a surface of a first land.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: May 26, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hee-chul Lee, Myung-kee Chung, Kun-dae Yeom
  • Patent number: 8999840
    Abstract: A method of forming a micro pattern of a semiconductor device may include forming an acid-extinguisher containing film on a substrate, forming a photoresist film containing a potential acid on the acid-extinguisher containing film, forming an exposed area containing acids by exposing a portion of the photoresist film to light, forming an insoluble polymer thin film between the acid-extinguisher containing film and the exposed area by extinguishing the acids of the exposed area at an interface between the acid-extinguisher containing film and the exposed area, developing the photoresist film to form a space exposing the insoluble polymer thin film in the exposed area and a photoresist pattern integrally connected to the insoluble polymer thin film, exposing the acid-extinguisher containing film through the space by removing the insoluble polymer thin film, and removing the acid-extinguisher containing film exposed through the space.
    Type: Grant
    Filed: July 11, 2013
    Date of Patent: April 7, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Cha-won Koh
  • Patent number: 8975195
    Abstract: A method of manufacturing an optical lithography mask includes providing a patterned layout design comprising a plurality of polygons, correcting the patterned layout design using optical proximity correction (OPC) by adjusting widths and lengths of one or more of the plurality of polygons, to generate a corrected patterned layout design, converting the corrected patterned layout design into a mask writer-compatible format, to generate a mask writer-compatible layout design comprising the plurality of polygons, and biasing each polygon in the plurality of polygons with a bias that accounts for large-scale density values of the patterned layout design, to generate a biased, mask writer-compatible layout design.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: March 10, 2015
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Todd Lukanc, Christopher Heinz Clifford, Tamer Coskun
  • Patent number: 8951433
    Abstract: An improved composition and method for cleaning a surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of the wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying a fluorine ion component, and the amounts of the fluorine ion component and an acid component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute.
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: February 10, 2015
    Assignee: Micron Technology, Inc.
    Inventor: Donald L. Yates
  • Patent number: 8940475
    Abstract: A method for double patterning a substrate is described. The double patterning method may include a litho/freeze/litho/etch (LFLE) technique that includes a first (critical dimension) CD slimming process to reduce the first CD to a first reduced CD and a second CD slimming process to reduce the second CD to a second reduced CD.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shannon W. Dunn, Dave Hetzer
  • Patent number: 8912104
    Abstract: An integrated circuit may include a substrate in which transistors are formed. The transistors may be associated with blocks of circuitry. Some of the blocks of circuitry may be configured to reduce leakage current. A selected subset of the blocks of circuitry may be selectively heated to reduce the channel length of their transistors through dopant diffusion and thereby strengthen those blocks of circuitry relative to the other blocks of circuitry. Selective heating may be implemented by coating the blocks of circuitry on the integrated circuit with a patterned layer of material such as a patterned anti-reflection coating formed of amorphous carbon or a reflective coating. During application of infrared light, the coated and uncoated areas will rise to different temperatures, selectively strengthening desired blocks of circuitry on the integrated circuit.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: December 16, 2014
    Assignee: Altera Corporation
    Inventors: Deepa Ratakonda, Christopher J. Pass, Che Ta Hsu, Fangyun Richter, Wilson Wong
  • Patent number: 8815752
    Abstract: Methods of forming features are disclosed. One method comprises forming a resist over a pool of acidic or basic material on a substrate structure, selectively exposing the resist to an energy source to form exposed resist portions and non-exposed resist portions, and diffusing acid or base of the acidic or basic material from the pool into proximal portions of the resist. Another method comprises forming a plurality of recesses in a substrate structure. The plurality of recesses are filled with a pool material comprising acid or base. A resist is formed over the pool material and the substrate structure and acid or base is diffused into adjacent portions of the resist. The resist is patterned to form openings in the resist. The openings comprise wider portions distal to the substrate structure and narrower portions proximal to the substrate structure. Additional methods and semiconductor device structures including the features are disclosed.
    Type: Grant
    Filed: November 28, 2012
    Date of Patent: August 26, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Adam L. Olson, Kaveri Jain, Lijing Gou, William R. Brown, Ho Seop Eom, Xue Chen, Anton J. deVilliers
  • Patent number: 8764999
    Abstract: A method for patterning a substrate is described. The patterning method may include performing a lithographic process to produce a pattern and a critical dimension (CD) slimming process to reduce a CD in the pattern to a reduced CD. Thereafter, the pattern is doubled to produce a double pattern using a sidewall image transfer technique.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: July 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shannon W. Dunn, Dave Hetzer
  • Patent number: 8658050
    Abstract: Techniques for minimizing or eliminating pattern deformation during lithographic pattern transfer to inorganic substrates are provided. In one aspect, a method for pattern transfer into an inorganic substrate is provided. The method includes the following steps. The inorganic substrate is provided. An organic planarizing layer is spin-coated on the inorganic substrate. The organic planarizing layer is baked. A hardmask is deposited onto the organic planarizing layer. A photoresist layer is spin-coated onto the hardmask. The photoresist layer is patterned. The hardmask is etched through the patterned photoresist layer using reactive ion etching (RIE). The organic planarizing layer is etched through the etched hardmask using RIE. A high-temperature anneal is performed in the absence of oxygen. The inorganic substrate is etched through the etched organic planarizing layer using reactive ion etching.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sebastian Ulrich Engelmann, Martin Glodde, Michael A. Guillorn
  • Patent number: 8658440
    Abstract: A nitride semiconductor light emitting device is formed by: forming a resist pattern on a first nitride semiconductor layer formed on a substrate, the resist pattern having a region whose inclination angle relative to a substrate surface changes smoothly as viewed in a cross section perpendicular to the substrate surface; etching the substrate by using the resist pattern as a mask to transfer the resist pattern to the first nitride semiconductor layer; and forming an light emitting layer on the patterned first nitride semiconductor layer. The nitride semiconductor light emitting device can emit near-white light or have a wavelength range generally equivalent to or near visible light range.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: February 25, 2014
    Assignee: Stanley Electric Co., Ltd.
    Inventors: Ji-Hao Liang, Masahiko Tsuchiya, Takako Chinone, Masataka Kajikawa
  • Patent number: 8592318
    Abstract: A method for etching an etch layer disposed over a substrate and below an antireflective coating (ARC) layer and a patterned organic mask with mask features is provided. The substrate is placed in a process chamber. The ARC layer is opened. An oxide spacer deposition layer is formed. The oxide spacer deposition layer on the organic mask is partially removed, where at least the top portion of the oxide spacer deposition layer is removed. The organic mask and the ARC layer are removed by etching. The etch layer is etched through the sidewalls of the oxide spacer deposition layer. The substrate is removed from the process chamber.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventors: Jisoo Kim, Conan Chiang, Jun Shinagawa, S. M. Reza Sadjadi
  • Patent number: 8580675
    Abstract: An integrated circuit may be formed by forming a first interconnect pattern in a first plurality of parallel route tracks, and forming a second interconnect pattern in a second plurality of parallel route tracks, in which the second plurality of route tracks are alternated with the first plurality of route tracks. The first interconnect pattern includes a first lead pattern and the second interconnect pattern includes a second lead pattern, such that the route track containing the first lead pattern is immediately adjacent to the route track containing the second lead pattern. Metal interconnect lines are formed in the first interconnect pattern and the second interconnect pattern. A stretch crossconnect is formed in a vertical connecting level, such as a via or contact level, which electrically connects only the first lead and the second lead. The stretch crossconnect is formed concurrently with other vertical interconnect elements.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: November 12, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: James Walter Blatchford, Scott William Jessen
  • Patent number: 8519403
    Abstract: A method for forming a submicron device includes depositing a hard mask over a first region that includes a polysilicon well of a first dopant type and a gate of a second dopant type and a second region that includes a polysilicon well of a second dopant type and a gate of a first dopant type. The hard mask over the first region is removed. Angled implantation of the first dopant type is performed to form pockets under the gate of the second dopant type.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: August 27, 2013
    Assignee: Altera Corporation
    Inventors: Che Ta Hsu, Christopher J. Pass, Dale Ibbotson, Jeffrey T. Watt, Yanzhong Xu
  • Patent number: 8361829
    Abstract: A method for forming a semiconductor device includes forming an implant mask on a substrate, such that a first portion of the substrate is located under the implant mask, and a second portion of the substrate is exposed; performing oxygen ion implantation of the substrate; removing the implant mask; and forming a first field effect transistor (FET) on the first portion of the substrate, and forming a second FET on the second portion of the substrate, wherein the second FET has a higher radiation sensitivity than the first FET.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: January 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: Michael S. Gordon, Kenneth P. Rodbell, Jeng-Bang Yau
  • Patent number: 8329512
    Abstract: A method of making a device includes forming a first photoresist layer over a sacrificial layer, patterning the first photoresist layer to form first photoresist features, rendering the first photoresist features insoluble to a solvent, forming a second photoresist layer over the first photoresist features, patterning the second photoresist layer to form second photoresist features, forming a spacer layer over the first and second photoresist features, etching the spacer layer to form spacer features and to expose the first and second photoresist features, forming third photoresist features between the spacer features, removing the spacer features, and patterning the sacrificial layer using the first, second and third photoresist features as a mask to form sacrificial features.
    Type: Grant
    Filed: May 3, 2012
    Date of Patent: December 11, 2012
    Assignee: SanDisk 3D LLC
    Inventors: Natalie Nguyen, Paul Wai Kie Poon, Steven J. Radigan, Michael Konevecki, Yung-Tin Chen, Raghuveer Makala, Vance Dunton
  • Patent number: 8222149
    Abstract: The present disclosure provides a method for making a semiconductor device. The method includes forming a sacrificial layer on a substrate; forming a patterned resist layer on the sacrificial layer; performing an ion implantation to the substrate; applying a first wet etch solution to remove the patterned photoresist layer; and applying a second wet etch solution to remove the sacrificial layer.
    Type: Grant
    Filed: September 22, 2009
    Date of Patent: July 17, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8158014
    Abstract: A stack of a second photoresist having a second photosensitivity and a first photoresist having a first photosensitivity, which is greater than second photosensitivity, is formed on a substrate. A first pattern is formed in the first photoresist by a first exposure and a first development, while the second photoresist underneath remains intact. A second pattern comprising an array of lines is formed in the second photoresist. An exposed portion of the second photoresist underneath a remaining portion of the first photoresist forms a narrow portion of a line pattern, while an exposed portion of the second photoresist outside the area of the remaining portions of the photoresist forms a wide portion of the line pattern. Each wide portion of the line pattern forms a bulge in the second pattern, which increases overlay tolerance between the second pattern and the pattern of conductive vias.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: April 17, 2012
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Wai-kin Li, Ping-Chuan Wang
  • Patent number: 8026178
    Abstract: A method of making a device includes forming a first photoresist layer over a sacrificial layer, patterning the first photoresist layer to form first photoresist features, rendering the first photoresist features insoluble to a solvent, forming a second photoresist layer over the first photoresist features, patterning the second photoresist layer to form second photoresist features, forming a spacer layer over the first and second photoresist features, etching the spacer layer to form spacer features and to expose the first and second photoresist features, forming third photoresist features between the spacer features, removing the spacer features, and patterning the sacrificial layer using the first, second and third photoresist features as a mask to form sacrificial features.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: September 27, 2011
    Assignee: SanDisk 3D LLC
    Inventors: Natalie Nguyen, Paul Wai Kie Poon, Steven J. Radigan, Michael Konevecki, Yung-Tin Chen, Raghuveer Makala, Vance Dunton
  • Patent number: 7981706
    Abstract: A photoresist composition includes an alkali-soluble resin, a dissolution inhibitor including a quinone diazide compound, a first additive including a benzenol compound represented by the following Chemical Formula 1, a second additive including an acrylic copolymer represented by the following Chemical Formula 2 and an organic solvent. Accordingly, heat resistance of a photoresist pattern may be improved, and the photoresist pattern may be readily stripped. As a result, crack formation in the photoresist pattern may be reduced and/or prevented.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: July 19, 2011
    Assignees: Samsung Electronics Co., Ltd., Dongwoo Fine-Chem
    Inventors: Jeong-Min Park, Jung-Soo Lee, Won-Young Chang, Eun-Sang Lee, In-Ho Yu, Seong-Hyeon Kim
  • Patent number: 7977247
    Abstract: The present invention relates to a semiconductor structure such as a field effect transistors (FETs) in which the channel region of each of the FETs is composed of an array of more than one electrically isolated channel. In accordance with the present invention, the distance between each of the channels present in the channel region is within a distance of no more than twice their width from each other. The FETs of the present invention are fabricated using methods in which self-assembled block copolymers are employed in forming the channel.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: July 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Charles T. Black, Ricardo Ruiz
  • Patent number: 7943497
    Abstract: A substrate surface serving as an SOI region and a substrate surface serving as a bulk region are made to form the same plane easily and highly accurately, a thickness of a buried oxide film is made uniform, and the buried oxide film is also prevented from being exposed on the substrate surface. After partially forming a mask oxide film (19) on a surface of a silicon substrate (12), an oxygen ions (16) are implanted into the surface of the substrate through this mask oxide film, and the substrate is further subjected to annealing treatment to form a buried oxide film (13) inside the substrate. Between the step of forming the mask oxide film and the step of implanting the oxygen ions, a recess portion (12c) with a predetermined depth deeper than a substrate surface (12b) serving as the bulk region where the mask oxide film has been formed is formed in a substrate surface (12a) serving as the SOI region where the mask oxide film is not formed.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: May 17, 2011
    Assignee: Sumco Corporation
    Inventor: Tetsuya Nakai
  • Patent number: 7871908
    Abstract: The method of manufacturing a semiconductor device comprising: forming a first hard mask layer and a second hard mask layer on the layer to be etched (S11); a first groove-forming mask pattern forming process for forming a groove-forming mask pattern which has a first pitch, is formed of the second hard mask layer, and is used as an etching mask when forming groove patterns(S12-S14); and a first concave portion-forming mask pattern forming process for etching the first hard mask layer using the second resist pattern as an etching mask, wherein the second resist pattern is formed of the second resist layer having an opening portion that has a fourth pitch and the first organic layer having an opening portion that is connected to an opening portion of the second resist layer and has a smaller size than the opening portion of the second resist layer (S15-S18).
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: January 18, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Yatsuda, Eiichi Nishimura
  • Patent number: 7867884
    Abstract: A wafer fabrication method includes a first step of forming a plurality of first channel regions in a first region on a surface of a water, a second step of forming a plurality of second channel regions having an impurity concentration different from an impurity concentration of the first channel regions, a third step of forming a plurality of third channel regions in a third region on the surface of the water, and a fourth step of forming a plurality of fourth channel regions having an impurity concentration different from an impurity concentration of the third channel regions in a fourth region, wherein the first region and the second region are divided by a first line segment on the wafer, and the third and fourth regions are divided by a second line segment intersecting with the first line segment on the wafer.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: January 11, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Tomohiro Kamimura, Kou Sasaki, Tomoharu Inoue
  • Patent number: 7767592
    Abstract: A method for forming a mask pattern for ion-implantation comprises: forming a gate line pattern over a semiconductor substrate; forming a coating layer on the surface of gate line pattern; performing a plasma treatment on the top portion of the gate line pattern; forming a photoresist layer over the resulting structure; and performing an exposure and a developing processes to form a photoresist pattern on the gate line pattern.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: August 3, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kyu Sung Kim
  • Patent number: 7696033
    Abstract: A method of fabricating a Complementary Metal-Oxide Semiconductor (CMOS) Thin Film Transistor (TFT) using a reduced number of masks includes: forming a buffer layer on the entire surface of a substrate; forming polysilicon and photoresist layers on the entire surface of the substrate having the buffer layer; exposing and developing the photoresist layer to form a first photoresist pattern having a first thickness in a region where a semiconductor layer of a first TFT is to be formed, a second thickness in a region where a channel and a Lightly Doped Drain (LDD) region of a second TFT are to be formed, and a third thickness in a region where source and drain regions of the second TFT are to be formed; etching the polysilicon layer using the first photoresist pattern as a mask to pattern the semiconductor layers of the first and second TFTs; performing a first ashing process on the first photoresist pattern to form a second photoresist pattern where the region having the third thickness has been removed from th
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: April 13, 2010
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventor: Eui-Hoon Hwang
  • Patent number: 7495347
    Abstract: A method that includes providing a semiconductor substrate having a mask on a surface thereof. The mask includes a first region having no masking elements and a second region having a plurality of masking elements. Each of the plurality of masking elements has a dimension that is equal to a first length, the first length less than twice a diffusion length of a dopant. The method further includes bombarding the semiconductor substrate and masking element with ions of the dopant. The ions form a first impurity concentration in the first region and a second impurity concentration in the second region.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: February 24, 2009
    Assignee: Xerox Corporation
    Inventors: Alan D. Raisanen, Shelby F. Nelson
  • Patent number: 7312159
    Abstract: An improved composition and method for cleaning the surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of a wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying the fluorine ion component, and the amounts of the fluorine ion component and acid, component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: December 25, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Donald L Yates
  • Patent number: 7238624
    Abstract: The present disclosure relates generally to the manufacturing of semiconductor devices, and more particularly to semiconductor manufacturing using a vacuum chamber. In one example, a method for semiconductor manufacturing includes: providing a photoresist layer for a wafer; removing solvent residues from the photoresist layer by using a vacuum chamber; and exposing the wafer.
    Type: Grant
    Filed: March 1, 2005
    Date of Patent: July 3, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jen-Chieh Shih
  • Patent number: 7105407
    Abstract: There is provided a method of fabricating a semiconductor device comprising the steps of applying resist to a polysilicon film formed across the surface of a substrate and forming a plurality of openings in a resist pattern, for determining a spacing between floating gates adjacent to each other, causing the openings of the resist pattern to undergo uniform contraction by use of, for example, deformation due to thermal flow to thereby form other openings, and etching portions of the polysilicon film, in the openings as contracted to thereby form the floating gate on both sides of the respective openings as contracted. With the method described, it becomes possible to reduce the spacing between the floating gates adjacent to each other above the resolution -limit of an exposure system, thereby enlarging a floating gate width.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: September 12, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Koki Muto
  • Patent number: 7083994
    Abstract: This invention generally relates to semiconductor devices, for example lasers and more particularly to single frequency lasers and is directed at overcoming problems associated with the manufacture of these devices. In particular, a laser device is provided formed on a substrate having a plurality of layers (1,2,3,4,5), the laser device comprising at least one waveguide (for example a ridge) established by the selective removal of sections of at least one of the layers. Wherein alignment features are provided on the device to facilitate subsequent placement.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: August 1, 2006
    Assignee: Eblana Photonics Limited
    Inventor: James O'Gorman
  • Patent number: 7078319
    Abstract: A method for separating individual optoelectronic devices, such as LEDs, from a wafer includes directing a laser beam having a width toward a major surface of the semiconductor wafer. The laser beam has an image with a first portion of a first energy per unit width and a second portion of a second energy per unit width less than the first energy. The laser beam image cuts into the first major surface of the semiconductor wafer to produce individual devices.
    Type: Grant
    Filed: November 16, 2001
    Date of Patent: July 18, 2006
    Assignee: GELcore LLC
    Inventors: Ivan Eliashevich, Mark Gottfried
  • Patent number: 7008736
    Abstract: The present invention relates to a micromininiaturization technique to achieve the miniaturization and higher integration of IC chip and to the improvement of a mask used in its manufacturing process. In other words, the phases of lights transmitted through the mask is controlled within one mask pattern. Specifically, a transparent film is formed in such a manner that it covers a mask pattern along a pattern formed by magnifying or demagnifying the mask pattern or otherwise a groove is formed in a mask substrate. A phase difference of 180° is generated between the lights transmitted through the mask substrate and the transparent film or the groove, causing interference with each light to offset each other. Therefore, the pattern transferred onto a wafer has an improved resolution, being used in the invention.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: March 7, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Yoshihiko Okamoto
  • Patent number: 6992015
    Abstract: After forming a resist film made from a chemically amplified resist material, pattern exposure is carried out by selectively irradiating the resist film with exposing light while supplying, onto the resist film, water that includes triphenylsulfonium nonaflate, that is, an acid generator, and is circulated and temporarily stored in a solution storage. After the pattern exposure, the resist film is subjected to post-exposure bake and is then developed with an alkaline developer. Thus, a resist pattern made of an unexposed portion of the resist film can be formed in a good shape.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: January 31, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masayuki Endo, Masaru Sasago
  • Patent number: 6962878
    Abstract: A method for reducing the dimension of a patterned organic photoresist area by reducing the pressure of a reactive environment surrounding the patterned photoresist to cause outgasing. The outgased materials CxHyOz are then decomposed in the reactive environment leaving the outgased photoresist porous. The environment surrounding the patterned photoresist is then increased to atmospheric pressure, which compresses or shrinks the porous photoresist. Photoresist lines having a dimension as small as about 0.085 ?m can be obtained.
    Type: Grant
    Filed: April 17, 2003
    Date of Patent: November 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yih-Chen Su, Chao-Tzung Tsai
  • Patent number: 6958292
    Abstract: In order to shorten the period for the development and manufacture of a semiconductor integrated circuit device, at the time of transferring integrated circuit patterns onto a wafer by an exposure process, a photomask PM1 is used which is provided partially with light shielding patterns 3a formed of a resist film, in addition to light shielding patterns formed of a metal.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: October 25, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Norio Hasegawa, Joji Okada, Toshihiko Tanaka, Kazutaka Mori, Ko Miyazaki
  • Patent number: 6924230
    Abstract: A method for forming a conductive layer is disclosed, which has the following steps. First, a substrate is provided, and then a patterned photoresist layer having an undercut is formed on the substrate. After that, at least one conductive layer is deposited on the substrate. Finally, the patterned photoresist layer is lifted off; wherein the shape of the conductive layer remaining on the substrate is complementary to that of the patterned photoresist layer.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: August 2, 2005
    Assignee: Industrial Technology Research Institute
    Inventors: Yu-Chang Sun, Ching-Hsuan Tang, Chi-Shen Lee, Chai-Yuan Sheu
  • Patent number: 6908854
    Abstract: A method of forming a dual-layer resist and application thereof. With respect to the method of forming a dual-layer resist, first, a patterned first resist layer is formed on a substrate. Next, the first resist layer is cured so that the first resist layer does not dissolve in a resist solvent. Finally, a patterned second resist layer is formed on the cured first resist layer. The method of forming a dual-layer resist can be applied to mask ROM coding, hole formation and a dual damascene structure.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: June 21, 2005
    Assignee: Macronix International Co., Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6885078
    Abstract: A circuit isolation technique that uses implanted ions in embedded portions of a wafer substrate to lower the resistance of the substrate under circuits formed on the wafer or portions of circuits formed on the wafer to prevent the flow of injected currents across the substrate. The embedded ions provide low resistance regions that allow injected currents from a circuit to flow directly to a ground potential in the same circuit rather than flowing across the substrate to other circuits. High energy implantation processes on the order of 1 MeV to 3 MeVs can be used to implant the ions in embedded regions. Multiple energy levels can be used to provide thick embedded layers either prior to or after application of an epitaxial layer. Various masking materials can be used to mask the isolation regions during the implantation process, including hard masking materials such as silicon dioxide or silicon nitride, poly-silicon or an amorphous silicon layer, and a photoresist layer.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: April 26, 2005
    Assignee: LSI Logic Corporation
    Inventors: Donald M. Bartlett, Gayle W. Miller, Randall J. Mason
  • Patent number: 6881688
    Abstract: A method of fabricating a vertically profiled electrode like a T-gate 40 on a semiconductor substrate 20 is described. The method comprises providing a resist structure 34 on the substrate 20, the resist structure 34 containing at least a first resist pattern 24? arranged on the substrate 20 and having a first opening 26, the first resist being negative resist, and a second resist pattern 32 having a second opening 30 surrounding the first opening 26. The vertical profile of the gate electrode 40 is defined by the contours and the relative location of the first and the second opening 26, 30. On the resist structure 34 a metal 38 is deposited and lift-off is performed to remove the second resist 32 together with the metal 38 deposited thereon.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: April 19, 2005
    Inventor: Bernd E. Maile
  • Patent number: 6872646
    Abstract: A conductive pattern is obtained by forming concave-convex on a substrate by using a pattern substrate. A conductive thin layer is formed and then coated with a layer of a photosensitive resin. The photo sensitive resin is exposed and development by using the pattern substrate to bare the conductive thin layer on the convex portion and electrolytic plating. The conductive thin layer and the layer of the photosensitive resin on the concave portion may then be removed.
    Type: Grant
    Filed: June 3, 2003
    Date of Patent: March 29, 2005
    Assignee: Dia Nippon Printing Co., Ltd.
    Inventor: Yudai Yamashita
  • Patent number: 6855646
    Abstract: A process for producing a pattern of negative electron beam resist comprises: depositing a layer of plasma polymerized fluoropolymer on a face of a substrate, the plasma polymerized fluoropolymer forming the negative electron beam resist; producing an electron beam; moving the electron beam on the layer of plasma polymerized fluoropolymer to define the pattern, the layer then having exposed fluoropolymer areas defining the pattern and unexposed fluoropolymer areas; and removing the unexposed fluoropolymer areas to leave only the pattern on the face of the substrate.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: February 15, 2005
    Assignee: Quantiscript Inc.
    Inventors: Yousef Awad, Éric Lavallée, Jacques Beauvais, Dominique Drouin
  • Patent number: 6844270
    Abstract: The present invention includes polyacetal polymers and photoresist compositions that include the polymers as a resin binder component. Photoresists of the invention include chemically-amplified positive-acting resists that can be effectively imaged at short wavelengths such as sub-300 nm, particularly 157 nm.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: January 18, 2005
    Assignee: Shipley Company, L.L.C.
    Inventors: Anthony Zampini, Sungseo Cho
  • Patent number: 6841465
    Abstract: Disclosed is a method of forming the dual damascene pattern in the semiconductor device. After forming the trench, a photoresist pattern in which a via hole region is defined is formed by exposure and development processes in a state that a photoresist is thinly coated, in a dual damascene process for first forming the trench than a via hole. Therefore, the present invention can prevent degradation of resolution due to a thickness of a photoresist pattern in a trench region and improve reliability of the entire process by simultaneously smoothly performing an etching process even with a thin photoresist pattern due to a good etching tolerance property.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: January 11, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Sung Choi
  • Patent number: 6828167
    Abstract: Disclosed is a thin film transistor (TFT) for a liquid crystal display (LCD) and a method for manufacturing the same that allows the number of photomasks used in a photolithography process to be decreased as compared to conventional methods. A passivation film is formed as a single layered organic insulating film, and the number of needed exposure steps is reduced, so as to decrease the number of needed photomask sheets and thereby improve the efficiency of the TFT production process. Applications of the disclosed method include reflection and transmission composite type LCDs as well as a reflection type LCD.
    Type: Grant
    Filed: February 5, 2003
    Date of Patent: December 7, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dong-Gyu Kim
  • Patent number: 6815347
    Abstract: The present invention provides a method of forming a TFT and a reflective electrode having recesses or projections with reduced manufacturing cost and a reduced number of manufacturing steps, and provides a liquid crystal display device to which the method is applied. A photosensitive film 8 is formed on a metal film 7. Then, remaining portions 81, 82 and 83 are formed from the photosensitive film 8. Then, the metal film 7 is etched by using the remaining portions 81, 82 and 83 as masks. And then, a photosensitive film 9 and a reflective electrode film 10 are formed without removing the remaining portions 81, 82 and 83.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: November 9, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Naoki Sumi
  • Patent number: 6812128
    Abstract: A step for forming a wiring on a semiconductor substrate, a step for forming a first silicon oxide film on the semiconductor substrate having the wiring, and a step for forming an interlayer insulating film composed of a material bearing a low specific inductive capacity on the first silicon oxide film are sequentially executed to form a multilayered wiring. The interlayer insulating film is formed to have a smaller thickness relative to a step of the first silicon oxide film, so as not to extend beyond the step of the first silicon oxide film.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: November 2, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Motoki Kobayashi
  • Patent number: 6808984
    Abstract: A method for forming a contact opening is provided. After forming transistors on a substrate, a stacked resist layer including a resist layer without a silicon element and a resist layer with a silicon element covers the transistors and the substrate. The stacked resist layer is defined to cover a region of a contact opening to be formed as a mask. A selective growth process, such as a liquid phase oxide deposition (LPOD), is carried out to form a selective silicon oxide layer on the silicon-containing surface and fills the space between the stacked resist layer. After the stacked resist layer is removed, a contact opening is formed in the silicon oxide layer and a step of the etching process is eliminated.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: October 26, 2004
    Assignee: Nanaya Technology Corporation
    Inventor: Meng-Hung Chen
  • Patent number: 6803261
    Abstract: There is provided a laminated type photoelectric converter whose sensitivity is enhanced uniformly. In the photoelectric converter in which a photoelectric conversion device is laminated above a signal transfer device, the sensitivity is enhanced by providing bends on a lower electrode of the photoelectric conversion device and by confining light uniformly.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: October 12, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Masayuki Sakakura
  • Patent number: 6780781
    Abstract: A method for manufacturing an electronic device is provided. In one example of the method, the method prevents deformation of a resist mask caused by the irradiation of exposure light. The resist mask has a resist as an opaque element, and can afford mask patterns undergoing little change even with an increase in the number of wafers subjected to exposure processing. The resist mask maintains a high dimensional accuracy. A photomask pattern is formed using as an opaque element a resist comprising a base resin and Si incorporated therein or a resist with a metal such as Si incorporated thereby by a silylation process, to improve the resistance to active oxygen. The deformation of a resist opaque pattern in a photomask is prevented. The dimensional accuracy of patterns transferred onto a Si wafer is improved in repeated use of the photomask.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: August 24, 2004
    Assignee: Renesas Technology Corporation
    Inventors: Takahiro Odaka, Toshihiko Tanaka, Takashi Hattori, Hiroshi Fukuda