Forming Tapered Edges On Substrate Or Adjacent Layers Patents (Class 438/978)
  • Patent number: 11018051
    Abstract: A method includes: forming trenches extending from a surface along a vertical direction into a semiconductor body, facing trench sidewalls of two adjacent trenches laterally confining a mesa region of the semiconductor body along a first lateral direction; forming a body region in the mesa region, a surface of the body region in the mesa region at least partially forming the semiconductor body surface; forming a first insulation layer on the semiconductor body surface; subjecting the semiconductor body region to a tilted source implantation using at least one contact hole in the first insulation layer at least partially as a mask for forming a semiconductor source region in the mesa region. The tilted source implantation is tilted from the vertical direction by an angle of at least 10°. The semiconductor source region extends for no more than 80% of a width of the mesa region along the first lateral direction.
    Type: Grant
    Filed: August 20, 2019
    Date of Patent: May 25, 2021
    Assignee: Infineon Technologies Dresden GmbH & Co. KG
    Inventors: Markus Beninger-Bina, Matteo Dainese, Ingo Dirnstorfer, Erich Griebl, Johannes Georg Laven, Anton Mauder, Hans-Joachim Schulze
  • Patent number: 9029210
    Abstract: A semiconductor device includes a III-nitride substrate of a first conductivity type, a first III-nitride epitaxial layer of the first conductivity type coupled to the III-nitride substrate, and a first III-nitride epitaxial structure coupled to a first portion of a surface of the first III-nitride epitaxial layer. The first III-nitride epitaxial structure has a sidewall. The semiconductor device further includes a second III-nitride epitaxial structure of the first conductivity type coupled to the first III-nitride epitaxial structure, a second III-nitride epitaxial layer of the first conductivity type coupled to the sidewall of the second III-nitride epitaxial layer and a second portion of the surface of the first III-nitride epitaxial layer, and a third III-nitride epitaxial layer of a second conductivity type coupled to the second III-nitride epitaxial layer. The semiconductor device also includes one or more dielectric structures coupled to a surface of the third III-nitride epitaxial layer.
    Type: Grant
    Filed: June 11, 2014
    Date of Patent: May 12, 2015
    Assignee: AVOGY, INC.
    Inventors: Hui Nie, Andrew P. Edwards, Donald R. Disney, Isik C. Kizilyalli
  • Patent number: 8896127
    Abstract: An integrated circuit structure includes a semiconductor substrate and a hard mask layer formed on the semiconductor substrate. The integrated circuit structure further includes at least a conductive layer formed in the hard mask layer and a via extending from the hard mask layer to at least a portion of the semiconductor substrate, wherein the via has a round corner and a tapered sidewall.
    Type: Grant
    Filed: November 8, 2012
    Date of Patent: November 25, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Pin Chang, Wen-Chih Chiou, Chen-Hua Yu
  • Patent number: 8772911
    Abstract: A semiconductor diode has a first semiconductor layer (102) of a first conductivity type and a second semiconductor layer of a second conductivity type having a doping. The second semiconductor layer has a vertical electrical via region (106) which is connected to the first semiconductor layer and in which the doping is modified in such a way that the electrical via region (106) has the first conductivity type. A method for producing such a semiconductor diode is described.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: July 8, 2014
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventors: Tony Albrecht, Markus Maute, Martin Reufer, Heribert Zull
  • Patent number: 8742591
    Abstract: A semiconductor device has a plurality of conductive vias formed into a semiconductor wafer. A portion of the semiconductor wafer is removed so the conductive vias extend above a surface of the semiconductor wafer. A notch is formed in the semiconductor wafer around each of the conductive vias. The notch around the conductive vias can be formed by wet etching, dry etching, or LDA. A first insulating layer is formed over a surface of the semiconductor wafer and conductive vias and into the notch to provide stress relief between the conductive vias and semiconductor wafer. A portion of the first insulating layer is removed to expose the conductive vias. A first conductive layer and second insulating layer can be formed around the conductive vias. A second conductive layer can be formed over the conductive vias. The notch can extend into the second insulating layer.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: June 3, 2014
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Won Kyoung Choi, Chang Bum Yong, Jae Hun Ku
  • Patent number: 8633091
    Abstract: A chip package includes a substrate having a pad region, a device region, and a remained scribe region located at a periphery of the substrate; a signal and an EMI ground pads disposed on the pad region; a first and a second openings penetrating into the substrate to expose the signal and the EMI ground pads, respectively; a first and a second conducting layers located in the first and the second openings and electrically connecting the signal and the EMI ground pads, respectively, wherein the first conducting layer and the signal pad are separated from a periphery of the remained scribe region, and wherein a portion of the second conducting layer and/or the EMI ground pad extend(s) to a periphery of the remained scribe region; and a third conducting layer surrounding the periphery of the remained scribe region to electrically connect the second conducting layer and/or the EMI ground pad.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: January 21, 2014
    Inventors: Chia-Lun Tsai, Tsang-Yu Liu, Chia-Ming Cheng
  • Patent number: 8481401
    Abstract: A method for manufacturing a component having a through-contact includes: providing a substrate; forming an insulating layer on the substrate; structuring the insulating layer, the insulating layer being removed at least in a predetermined trenching area surrounding a selected substrate area; performing an etching process in which the structured insulating layer functions as a mask to remove substrate material in the trenching area and to create a trench structure surrounding the selected substrate area; and forming a metallic layer on the insulating layer, the metallic layer sealing the trench structure.
    Type: Grant
    Filed: May 26, 2011
    Date of Patent: July 9, 2013
    Assignee: Robert Bosch GmbH
    Inventor: Jochen Reinmuth
  • Patent number: 8426325
    Abstract: One embodiment of the present invention provides a process for obtaining high-quality boundaries for individual multilayer structures which are fabricated on a trench-partitioned substrate. During operation, the process receives a trench-partitioned substrate wherein the substrate surface is partitioned into arrays of isolated deposition platforms which are separated by arrays of trenches. The process then forms a multilayer structure, which comprises a first doped layer, an active layer, and a second doped layer, on one of the deposition platforms. Next, the process removes sidewalls of the multilayer structure.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: April 23, 2013
    Assignee: Lattice Power (Jiangxi) Corporation
    Inventors: Li Wang, Fengyi Jiang
  • Patent number: 8043973
    Abstract: A method of forming IC devices includes providing a substrate and forming a patterned masking layer including at least one masked region having at least one masking layer, and a feature region bounded by the masking layer. Etching forms an etched feature in the substrate, wherein undercutting during the etching forms at least one mask overhang region over a surface portion of the etched feature that is recessed relative to an outer edge of the masking layer. A pullback etch process exclusive of any additional patterning step laterally etches the masking layer. The conditions for the pullback etch retain at least a portion of the masking layer and reduce a length of the mask overhang region by at least 50%, or eliminate the mask overhang region entirely. The etched feature is then filled after the pullback etch process to form a filled etched feature.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: October 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Brian Goodlin, Thomas D Bonifield
  • Patent number: 8008209
    Abstract: A technique is described whereby temperature gradients are created within a semiconductor wafer. Temperature sensitive etching and/or deposition processes are then employed. These temperature sensitive processes proceed at different rates in regions with different temperatures. To reduce pinch off in etching processes, a temperature sensitive etch process is selected and a temperature gradient is created between the surface and subsurface of a wafer such that the etching process proceeds more slowly at the surface than deeper in the wafer. This reduces “crusting” of solid reaction products at trench openings, thereby eliminating pinch off in many cases. Similar temperature-sensitive deposition processes can be employed to produce void-free high aspect ratio conductors and trench fills.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 30, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael R. Sievers, Kaushik A. Kumar, Andres F. Munoz, Richard Wise
  • Patent number: 7843041
    Abstract: A thin-film circuit device includes a substrate and a thin-film circuit layer, disposed on the substrate, having an element region and a low-strength region. The element region includes thin-film elements. The low-strength region extends between an end portion of the thin-film circuit layer and the element region and has a mechanical strength less than that of the surroundings of the low-strength region.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: November 30, 2010
    Assignee: Seiko Epson Corporation
    Inventors: Taimei Kodaira, Sumio Utsunomiya
  • Patent number: 7838387
    Abstract: A silicon wafer includes a principal face for forming electronic devices; an end region; and a tapered region which is located between the principal face and the end region, in which the thickness of the silicon wafer is gradually reduced, and which has a slope that makes an angle of greater than zero degree and less than 9.5 degrees or an angle of greater than 19 degrees with the principal face. An SOI wafer prepared by forming a buried oxide layer in a silicon wafer includes a principal face, end region, and tapered region that are substantially the same as those described above. A method for manufacturing an SOI wafer includes the steps of implanting oxygen ions into a silicon wafer; and heat-treating the resulting silicon wafer such that a buried oxide layer is formed in the silicon wafer.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: November 23, 2010
    Assignee: Sumco Corporation
    Inventors: Eiji Kamiyama, Seiichi Nakamura, Tetsuya Nakai
  • Patent number: 7833806
    Abstract: A method of forming a magnetoelectronic device includes forming a dielectric material (114) surrounding a magnetic bit (112), etching the dielectric material (114) to define an opening (122) over the magnetic bit (112) without exposing the magnetic bit (112), the opening (122) having a sidewall, depositing a blanket layer (132) of cladding material over the dielectric material (118), including over the sidewall, removing by a sputtering process the blanket layer (132) in the bottom of the opening (122) and the dielectric material (124) over the magnetic bit (112), and forming a conductive material (146) within the opening (122) to form a bit line (154). This process reduces errors caused by process irregularities such as edges of the bits (112) protruding and thereby causing defects in the cladding layer (132) formed thereover.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 16, 2010
    Assignee: Everspin Technologies, Inc.
    Inventors: Kenneth H. Smith, Nicholas D. Rizzo, Sanjeev Aggarwal, Anthony Ciancio, Brian R. Butcher, Kelly Wayne Kyler
  • Patent number: 7759259
    Abstract: A method of manufacturing a semiconductor device including heating a semiconductor substrate, has forming a cap film on a surface of said semiconductor substrate; selectively removing said cap film at least from an upper surface of an edge of said semiconductor substrate, a bevel surface of the edge of said semiconductor substrate and a side surface of the edge of said semiconductor substrate; selectively removing at least a device forming film formed on the upper surface of the edge of said semiconductor substrate, the bevel surface of the edge of said semiconductor substrate and the side surface of the edge of said semiconductor substrate; and heating said semiconductor substrate by irradiating said semiconductor substrate with light having a pulse width of 0.1 milliseconds to 100 milliseconds from a light source after removing said device forming film, wherein said cap film has a lower reflectance at a peak wavelength of said light than said semiconductor substrate.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: July 20, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takayuki Ito
  • Patent number: 7741214
    Abstract: In a semiconductor device, an insulating interlayer is provided above a semiconductor substrate, and a plurality of first wiring layers and a plurality of second wiring layers are formed in the insulating interlayer. The first wiring layers are substantially composed of copper, and are arranged in parallel at a large pitch. The second wiring layers are substantially composed of copper, and are arranged in parallel at a small pitch. A first metal capping layer is formed on each of the first wiring layers, and a second metal capping layer is formed on each of the second wiring layers. The second metal capping layer has a smaller thickness than that of the first metal capping layer.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: June 22, 2010
    Assignee: NEC Electronics Corporation
    Inventors: Toshiyuki Takewaki, Kazuyoshi Ueno
  • Patent number: 7727804
    Abstract: A method and apparatus for assembling microstructures onto a substrate through fluid transport. The microstructures being shaped blocks self-align into recessed regions located on a substrate such that the microstructure becomes integral with the substrate. The improved method includes a step of transferring the shaped blocks into a fluid to create a slurry. Such slurry is then dispensed evenly or circulated over the top surface of a substrate having recessed regions thereon. The microstructure via the shape and fluid tumbles onto the surface of the substrate, self-aligns, and engages into a recessed region.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: June 1, 2010
    Assignee: The Regents of the University of California
    Inventor: John Stephen Smith
  • Patent number: 7670955
    Abstract: The invention is directed to a semiconductor device having a penetrating electrode and a manufacturing method thereof in which reliability and a yield of the semiconductor device are enhanced. A semiconductor substrate is etched to form a via hole from a back surface of the semiconductor substrate to a pad electrode. This etching is performed under an etching condition such that an opening diameter of the via hole at its bottom is larger than a width of the pad electrode. Next, a second insulation film is formed on the back surface of the semiconductor substrate including in the via hole 16, exposing the pad electrode at the bottom of the via hole. Next, a penetrating electrode and a wiring layer are formed, being electrically connected with the pad electrode exposed at the bottom of the via hole 16. Furthermore, a protection layer and a conductive terminal are formed. Finally, the semiconductor substrate is cut and separated in semiconductor dies by dicing.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: March 2, 2010
    Assignees: Sanyo Electric Co., Ltd., Kanto Sanyo Semiconductors Co., Ltd.
    Inventors: Kojiro Kameyama, Akira Suzuki, Mitsuo Umemoto
  • Patent number: 7632689
    Abstract: Methods for controlling the profile of a trench of a semiconductor structure comprise the step of depositing a photoresist within a via and overlying a second dielectric layer. An image layer is deposited overlying the photoresist and is patterned to form a first trench having a first width and a second width that are not equal and a first angle. The photoresist is dry etched using dry etch parameters, at least one of which is selected based on the first angle and the first and the second widths of the first trench to form a second trench in the photoresist. The second dielectric layer is etched to form a third trench.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: December 15, 2009
    Assignee: Spansion LLC
    Inventors: Benjamin C. Hoster, William S. Bass
  • Patent number: 7550183
    Abstract: A method for manufacturing a liquid crystal display which employs an active matrix substrate including a plurality of pixels arranged in matrix on a substrate and reflecting electrodes formed in the pixels, respectively. The method comprises (a) a laminated conductive film formation step of sequentially forming a conductive metal film and an amorphous transparent conductive film on a substrate to form a laminated conductive film and (b) a reflecting electrode formation step of patterning the laminated conductive film into a reflecting electrode, wherein the step (b) includes a first etching step of etching the conductive metal film and the amorphous transparent conductive film simultaneously and a second etching step of etching the amorphous transparent conductive film only.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: June 23, 2009
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kazuhiro Ishizuka, Takashi Fujikawa, Takehiko Sakai
  • Patent number: 7550333
    Abstract: A nonplanar semiconductor device having a semiconductor body formed on an insulating layer of a substrate. The semiconductor body has a top surface opposite a bottom surface formed on the insulating layer and a pair of laterally opposite sidewalls wherein the distance between the laterally opposite sidewalls at the top surface is greater than at the bottom surface. A gate dielectric layer is formed on the top surface of the semiconductor body and on the sidewalls of the semiconductor body. A gate electrode is formed on the gate dielectric layer on the top surface and sidewalls of the semiconductor body. A pair of source/drain regions are formed in the semiconductor body on opposite sides of the gate electrode.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: June 23, 2009
    Assignee: Intel Corporation
    Inventors: Uday Shah, Brian Doyle, Justin K. Brask, Robert S. Chau, Thomas A. Letson
  • Patent number: 7537979
    Abstract: Since sodium contained in glass, or glass itself has low heat resistance; a CPU fabricated using a TFT formed over a glass substrate or the like has not been obtained. In the case of operating a CPU with high-speed, the length of a gate (gate length) of a TFT is required to be shorter. However, since a glass substrate has large deflection, a gate electrode cannot have been etched to have a gate length short enough to be used for a CPU. According to the invention, a conductive film is formed over a crystalline semiconductor film formed over a glass substrate, a mask is formed over the conductive film, and the conductive film is etched by using the mask; thus, a thin film transistor with a gate length of 1.0 ?m or less is formed. In particular, the crystalline semiconductor film is formed by crystallizing an amorphous semiconductor film formed over a glass substrate by laser irradiation.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: May 26, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Atsuo Isobe, Satoru Saito, Saishi Fujikawa
  • Patent number: 7459364
    Abstract: A method of forming a floating gate of a non-volatile memory device can include etching a mask pattern formed between field isolation regions in a field isolation pattern on a substrate to recess a surface of the mask pattern below an upper surface of adjacent field isolation regions to form an opening having a width defined by a side wall of the adjacent field isolation regions above the surface. Then the adjacent field isolation regions is etched to increase the width of the opening.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: December 2, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Hoon Lee, Hun-Hyeoung Leam, Jai-Dong Lee, Jung-Hwan Kim, Young-Sub You, Ki-Su Na, Woong Lee, Yong-Sun Lee, Won-Jun Jang
  • Patent number: 7374983
    Abstract: Manufacture of TFTs corresponding to various circuits makes structures thereof complex, which involves a larger number of manufacturing steps. Such an increase in the number of the manufacturing steps leads to a higher manufacturing cost and a lower manufacturing yield. In the invention, a high concentration of impurities is doped by using as masks a tapered resist that is used for the manufacture of a tapered gate electrode, and the tapered gate electrode, and then the tapered gate electrode is etched in the perpendicular direction using the resist as a mask. A semiconductor layer under the thusly removed tapered portion of the gate electrode is doped with a low concentration of impurities.
    Type: Grant
    Filed: March 15, 2005
    Date of Patent: May 20, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Satoru Okamoto
  • Patent number: 7354856
    Abstract: The manufacture of damascene structures having improved performance, particularly, but not by way of limitation, dual damascene structures is provided. In one embodiment, a substrate having a conductive layer is formed in a first insulating layer. A protective layer is formed above the conductive layer. An etching stop layer is formed above the protective layer and the first insulating layer. A second insulating layer is formed above the etching stop layer. A first patterned photoresist layer is formed above the second insulating layer, the first patterned photoresist layer having a first pattern. The first pattern is etched into the second insulating layer and the etching stop layer to form a first opening. A via plug is filled at least partially in the first opening. An anti-reflective coating (ARC) layer is formed above the second insulating layer. A second patterned photoresist layer is formed above the ARC layer, the second photoresist layer having a second pattern.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: April 8, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Shih Yeh, Ming-Hsing Tsai, Shau-Lin Shue, Chen-Hua Yu
  • Patent number: 7351661
    Abstract: A semiconductor device having a trench isolation layer in a semiconductor substrate is provided, wherein the trench isolation layer includes a silicon nitride liner, a silicon oxide liner; and a buried layer, wherein the buried layer includes a first buried layer for filling a lower part of the trench isolation layer and a second buried layer for filling an upper part of the trench isolation layer. A semiconductor device preferably further includes a silicon oxide layer disposed between the semiconductor substrate and the silicon nitride liner. The silicon oxide layer includes a thermal oxide layer densified at a temperature over about 800° C.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: April 1, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Hwa Heo, Soo-Jin Hong
  • Patent number: 7303648
    Abstract: Systems and techniques relating to etching vias in integrated circuit devices, in one implementation, include: providing a dielectric material and a conductive material, removing a first portion of the dielectric material to form a hole in the dielectric material, performing a tapering etch that removes a second portion of the dielectric material to form a via that touches down on the conductive material, and laterally expanding a bottom dimension of the via without a significant increase in a depth of the via. The technique can also include: providing a substrate with the dielectric material and the conductive material attached without an associated etch stop layer, removing the first portion at a high etch rate, controlling ion bombardment and plasma chemistry to form a sloped bottom of the via, and performing an intensive ion bombarding plasma etch, laterally expanding the via bottom.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: December 4, 2007
    Assignee: Intel Corporation
    Inventors: Hyun-Mog Park, Vijayakumar Ramachandrarao
  • Patent number: 7291283
    Abstract: A combined wet etching method for stacked films which is capable of performing etching processes in a collective manner while controlling an amount of side-etching on each of stacked films and of making uniform side edges. In the wet etching method, two or more types of etching methods are performed in combination, on stacked films containing first and second films being deposited sequentially on a substrate and each having a different film property. The two or more types of wet etching methods include, at least, a first wet etching method in which side-etching on the first film is facilitated more than side-etching on the second film and a second wet etching method in which side-etching on the second film is facilitated more than side-etching on the first film.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: November 6, 2007
    Assignee: NEC LCD Technologies, Ltd.
    Inventors: Tadanori Uesugi, Shigeru Kimura
  • Patent number: 7273824
    Abstract: A semiconductor structure and a method of fabrication there-for are provided. The semiconductor structure comprises a substrate, a dielectric layer disposed over the substrate, a hydrophilic material layer disposed over the dielectric layer, and a hardmask layer disposed over the hydrophilic material layer. It is noted that, the edge of the semiconductor structure may be polished after the hydrophilic material layer is formed over the dielectric layer and before the hardmask layer is formed over the hydrophilic material layer.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: September 25, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Ching Wu, Jiann-Fu Chen, Chih-Hsiang Shiau
  • Patent number: 7238609
    Abstract: A method for fabricating a semiconductor device has the steps of forming a conductive film on a substrate, forming an insulating film such that the conductive film is covered with the insulating film, forming, in the insulating film, a hole having a bottom portion not reaching the conductive film by using a mask layer having a first opening pattern, and forming, in the insulating film, an opening for exposing the conductive film by using a mask layer having a second opening pattern having an opening diameter larger than an opening diameter of the first opening pattern. An obtuse angle is formed between a wall surface of the opening and a bottom surface of the opening.
    Type: Grant
    Filed: February 26, 2004
    Date of Patent: July 3, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toyoji Ito
  • Patent number: 7229848
    Abstract: A method and apparatus for assembling microstructures onto a substrate through fluid transport. The microstructures being shaped blocks self-align into recessed regions located on a substrate such that the microstructure becomes integral with the substrate. The improved method includes a step of transferring the shaped blocks into a fluid to create a slurry. Such slurry is then dispensed evenly or circulated over the top surface of a substrate having recessed regions thereon. The microstructure via the shape and fluid tumbles onto the surface of the substrate, self-aligns, and engages into a recessed region.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: June 12, 2007
    Assignee: The Regents of the University of California
    Inventor: John Stephen Smith
  • Patent number: 7211517
    Abstract: A method of manufacturing a semiconductor device of the present invention includes (a) sequentially forming a gate insulating film 14, a first conductive layer 15 and a first insulating film 16 on a semiconductor layer 13 provided on an insulating film 12; (b) selectively removing the semiconductor layer, the gate insulating film, the first conductive layer and the first insulating film to form a device isolation trench; (c) forming a second insulating film 17 in the device isolation [element separation] trench, wherein a height of an upper surface of the second insulating film is substantially coincident with that of an upper surface of the first insulating film; (d) removing a part of the second insulating film and the first insulating film such that a height of an upper surface of the exposed first conductive layer is substantially coincident with that of the top surface of the second insulating film; and (e) patterning the first conductive layer to form a gate electrode.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: May 1, 2007
    Assignee: NEC Corporation
    Inventors: Yukishige Saito, Risho Koh, Jyonu Ri, Hisashi Takemura
  • Patent number: 7183217
    Abstract: A dry-etching method using an apparatus where a wafer is placed on either of a pair of opposed electrodes provided in an etching chamber, and high-frequency power is supplied to both the opposed electrodes to effect a plasma etching. The plasma etching uses a gas containing at least Cl2 and HBr. Trenches 104a, 104b are formed, as shown in FIG. 1B, in a silicon wafer 101 shown in FIG. 1A through a mask layer such as a nitride silicon layer 103. While adjusting the high-frequency power supplied to the opposed electrode where the wafer is placed, the shape of the sidewalls 105a, 105b of the trenches 104a, 104b is controlled. Thus, the trenches can have desired shapes even if the widths of the trenches are different.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: February 27, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Etsuo Iijima, Akiteru Koh
  • Patent number: 7101786
    Abstract: Provided is a method for forming a metal line in a semiconductor device. The method forms round portions at top edges of an insulation film by means of a polymer and then etches the rest portion (i.e., sidewall parts) in an almost vertical direction, which makes it possible to shrink down a width of a patterned insulation film at maximum nevertheless of a dimension of a metal-line patterning mask. By way the method, an interval between adjacent metal lines is extended at maximum, preventing mutual interference between the metal lines.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: September 5, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Jung Lee
  • Patent number: 7071563
    Abstract: An interconnect structure of a semiconductor device includes a tungsten plug (14) deposited in a via or contact window (11). A barrier layer (15) separates the tungsten plug (14) from the surface of a dielectric material (16) within which the contact window or via (11) is formed. The barrier layer (15) is a composite of at least two films. The first film formed on the surface of the dielectric material (16) within the via (11) is a tungsten silicide film (12). The second film is a tungsten film (13) formed on the tungsten silicide film (12). A tungsten plug (14) is formed on the tungsten film (13) to complete interconnect structure. The barrier layer (15) is deposited using a sputtering technique performed in a deposition chamber. The chamber includes tungsten silicide target (19) from which the tungsten silicide film (12) is deposited, and a tungsten coil (20) from which the tungsten film (20) is deposited.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: July 4, 2006
    Assignee: Agere Systems, Inc.
    Inventors: Siddhartha Bhowmik, Sailesh Mansinh Merchant, Darrell L. Simpson
  • Patent number: 7052952
    Abstract: A method for forming a wire line by a damascene process includes forming a first insulating layer on a semiconductor substrate, etching the first insulating layer to form a contact hole, and forming a first conductive layer over the first insulating layer that fills the contact hole. The first conductive layer is patterned, and a storage node contact is formed that fills the contact hole and is electrically connected to the semiconductor substrate. A hard mask is formed over the storage node contact and the first insulating layer is etched using the hard mask as an etch mask to form a trench in the first insulating layer. A bit line is formed in the trench that is electrically connected to the semiconductor substrate. A second insulating layer is formed that covers the bit line. The second insulating layer and the hard mask are planarized and a storage node of a capacitor is formed on the storage node contact.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics Co., Ltd
    Inventors: In-deog Bae, Chang-jin Kang, Jeong-sic Jeon, Kyeong-koo Chi
  • Patent number: 7052956
    Abstract: Disclosed is a method for manufacturing a capacitor of a semiconductor device. The method includes the steps of providing a substrate having a storage node plug, forming a PE-TEOS layer and a hard mask exposing a storage node contact area on the substrate, forming a storage node contact having a side profile of a positive and negative pattern through etching the PE-TEOS layer, removing the hard mask by etching-back the hard mask, performing an annealing process with respect to a resultant structure, forming a silicon layer on the silicon substrate, which passes through the annealing process, coating a photoresist film on an entire surface of the substrate, forming a storage node electrode by etching-back the photoresist film and the silicon layer, removing a remaining photoresist film, and forming a dielectric layer and a silicon layer on a storage node electrode structure.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: May 30, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cha Deok Dong, Il Keoun Han
  • Patent number: 7018892
    Abstract: In one embodiment, a semiconductor device comprises a base and a tapered wall formed on the base. The wall has a midline and also has an inner sidewall and an outer sidewall. The inner sidewall and the outer sidewall are substantially symmetrical with each other in relation to the midline. Thus, the reliability of the semiconductor capacitor structure can be improved and the throughput can be increased. Also, further scaling down of semiconductor devices can be facilitated with the principles of the present invention.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: March 28, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Gwan Shim, Chang-Ki Hong, Sang-Jun Choi, Jeong-Nam Han
  • Patent number: 6987054
    Abstract: A semiconductor device has a resin package layer on a principal surface of a semiconductor chip, on which a number of bump electrodes are formed, wherein the semiconductor device has a chamfer surface or a stepped surface on a top edge part such that the external shock or stress applied to such an edge part is dissipated by the chamfer surface of the stepped surface.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: January 17, 2006
    Assignee: Fujitsu Limited
    Inventors: Norio Fukasawa, Hirohisa Matsuki, Kenichi Nagashige, Yuzo Hamanaka, Muneharu Morioka
  • Patent number: 6969673
    Abstract: Embodiments of the invention provide a semiconductor device and a fabrication method for a semiconductor device that includes the processes of forming multiple gates on a silicon substrate, forming a gate spacer having a positive slope at the gate spacer edge, depositing a polysilicon layer on the silicon substrate between the gates, etching a portion of the polysilicon layer to form an opening exposing a portion of the silicon substrate, and forming an inter-insulation layer to the exposed portion of the silicon substrate to fill the opening. Using an annealing process applied to a layer in the gate spacer, the etch selectivity can be selectively controlled and consequently, the degree of slope at the gate spacer edge is predetermined.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: November 29, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Huhn Lee, Mun-Mo Jeong, Wook-je Kim
  • Patent number: 6953746
    Abstract: When a hole pattern is formed on a film to be processed, a matching deviation margin at a lithography step is reserved by making a diameter of a bottom of a hole substantially equal to a diameter of an aperture of the hole. The method for manufacturing the semiconductor apparatus includes the steps of: forming a (first) mask material film on a film to be processed; forming a tapered open pattern on the (first) mask material film; and etching the film to be processed by using the (first) mask material film as a mask.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: October 11, 2005
    Assignee: Sony Corporation
    Inventor: Fumikatsu Uesawa
  • Patent number: 6949776
    Abstract: A heterojunction bipolar transistor (HBT) is disclosed that includes successive emitter, base and collector and sub-collector epitaxial layers and emitter, base and collector contact metals contacting the emitter, base and sub-collector layers respectively. A passivation material is included that covers the uncovered portions of the layers and covers substantially all of the contact metals. The passivation material has a planar surface and a portion of each of the contact metals protrudes from the surface. Planar metals are included on the planar surface, each being isolated from the others and in electrical contact with a respective contact metal. A method for fabricating an HBT is also disclosed, wherein successive emitter, base, collector and sub-collector epitaxial layers are deposited on a substrate, with the substrate being adjacent to the sub-collector layer.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: September 27, 2005
    Assignee: Rockwell Scientific Licensing, LLC
    Inventors: Richard L. Pierson, Jr., James Chingwei Li, Berinder P. S. Brar, John A. Higgins
  • Patent number: 6930376
    Abstract: An upper reflecting layer in a main region, a first support region and a second support region is separated from an upper reflecting layer in the surrounding region by separating grooves. The first support region and the second support region are folded in a valley shape from a substrate at grooves, and the first support region, the second support region and the main region are folded in a mountain shape, and the upper reflecting layer in the main region faces parallel to the substrate with spacing.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: August 16, 2005
    Assignee: ATR Advanced Telecommunications Research Institute International
    Inventors: Kazuyoshi Kubota, Pablo O. Vaccaro, Tahito Aida
  • Patent number: 6913705
    Abstract: A manufacturing method for an optical integrated circuit including a spatial reflection type structure having a perpendicular end surface and an inclined surface formed in an optical waveguide layer. The manufacturing method includes the steps of applying a first photoresist to the upper surface of the optical waveguide layer, removing the first photoresist except a portion corresponding to the inclined surface, and heating the first preferred embodiment to a given temperature to melt the first photoresist at least partially and deform the first photoresist by surface tension, thereby forming a first mask having an inclined shape.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: July 5, 2005
    Assignee: Fujitsu Limited
    Inventor: Hidehiko Nakata
  • Patent number: 6908862
    Abstract: A method of depositing a film on a substrate disposed in a substrate processing chamber. The method includes depositing a first portion of the film by forming a high density plasma from a first gaseous mixture flown into the process chamber. The deposition processes is then stopped and part of the deposited first portion of the film is etched by flowing a halogen etchant into the processing chamber. Next, the surface of the etched film is passivated by flowing a passivation gas into the processing chamber, and then a second portion of the film is deposited over the first portion by forming a high density plasma from a second gaseous mixture flown into the process chamber. In one embodiment the passivation gas consists of an oxygen source with our without an inert gas.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: June 21, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dongqing Li, Xiaolin C. Chen, Lin Zhang
  • Patent number: 6872650
    Abstract: A ball electrode forming method comprises steps of: preparing a semiconductor apparatus having a plurality of electrode pads; arranging a mask having an upper surface and a lower surface, an area in the lower surface being larger than an area in the upper surface, and a plurality of openings extended from the upper surface to the lower surface, on a surface of the semiconductor apparatus having the electrode pads formed thereon so that the surface and the lower surface can face each other; arranging solder balls on the electrode pads arranged in the openings from the upper surface side of the mask; and electrically connecting the solder balls to the electrode pads to form ball electrodes. Thus, regarding a method for forming a ball electrode in a semiconductor apparatus having a BGA structure, an efficient ball electrode forming method is employed to prevent omission of a ball electrode.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: March 29, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yasuhito Anzai
  • Patent number: 6858542
    Abstract: A semiconductor fabrication method that includes forming a film (109) comprising an imaging layer (112) and an under layer (110) over a semiconductor substrate (102). The imaging layer (112) is patterned to produce a printed feature (116) having a printed dimension (124). The under layer (110) is then processed to produce a sloped sidewall void (120) in the under layer (110) wherein the void (120) has a finished dimension (126) in proximity to the underlying substrate that is less than the printed dimension. Processing the under layer (110) may include exposing the wafer to high density low pressure N2 plasma.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: February 22, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Terry G. Sparks, Ajay Singhal, Kirk J. Strozewski
  • Patent number: 6852615
    Abstract: A process and related product in which ohmic contacts are formed in High Electron Mobility Transistors (HEMTs) employing compound substrates such as gallium nitride. An improved device and an improvement to a process for fabrication of ohmic contacts to GaN/AlGaN HEMTs using a novel two step resist process to fabricate the ohmic contacts are described. This novel two-step process consists of depositing a plurality of layers having compounds of Group III V elements on a substrate; patterning and depositing a first photoresist on one of the layers; etching recessed areas into this layer; depositing ohmic metals on the recessed areas; removing the first photoresist; patterning and depositing a second photoresist, smaller in profile than the first photoresist, on the layer; depositing more ohmic metal on the layer allowing for complete coverage of the recessed areas; removing the second photoresist, and annealing the semiconductor structure.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: February 8, 2005
    Assignee: HRL Laboratories, LLC
    Inventors: Miroslav Micovic, Tahir Hussain, Paul Hashimoto, Janna Ruth Duvall
  • Patent number: 6852653
    Abstract: A method of manufacturing a semiconductor substrate (7) includes the processes of: forming an insulation film (2) on a surface of a semiconductor substrate main body (1); forming an ion shield member (3) having a predetermined shape on the insulation film; implanting an ion into the semiconductor substrate main body from a side on which the insulation film is formed, to thereby form an ion implantation layer (1a, 1b); removing the ion shield member; laminating the insulation film and a support substrate (5) onto each other; and separating the semiconductor substrate main body from the support substrate at a portion of the ion implantation layer.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: February 8, 2005
    Assignee: Seiko Epson Corporation
    Inventors: Yasushi Yamazaki, Yukiya Hirabayashi
  • Patent number: 6841472
    Abstract: A semiconductor device is provided with a semiconductor substrate, a gate insulation film formed on the semiconductor substrate, a gate electrode formed on the gate insulation film and having a portion increasing upward in the length along a gate length direction, a side wall formed on a side surface of the gate electrode so as to be covered behind a top part of the gate electrode as seen in plan view, and an interlayer insulation film covering the gate electrode. The side wall is in contact with the interlayer insulation film.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: January 11, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Satoru Mayuzumi
  • Patent number: 6774006
    Abstract: A microelectronic device fabricating method includes providing a substrate having a mean global outer surface extending along a plane. A first portion is formed over the substrate comprising a straight linear segment which is angled from the plane and forming a second portion over the substrate comprising a straight linear segment which is angled from the plane at a different angle than the first portion. A layer of structural material is formed over the first and second portions. The structural material layer is anisotropically etched and a first device feature is ultimately left over the first portion having a first base width and a second device feature is ultimately left over the second portion having a second base width which is different from the first base width. Integrated circuitry includes a substrate having a mean global outer surface extending along a plane.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: August 10, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg