For Stripping Photoresist Material Patents (Class 510/176)
  • Patent number: 7238653
    Abstract: Cleaning solutions for photoresist are disclosed which are useful for cleaning a semiconductor substrate in the last step of development when photoresist patterns are formed. Also, methods for forming photoresist patterns using the same are disclosed. The disclosed cleaning solution comprises H2O as a solution, a surfactant which is phosphate-alcoholamine salt represented by Formula 1, and an alcohol compound. The disclosed cleaning solution has lower surface tension than that of distilled water which has been used for conventional cleaning solutions, thereby improving resistance to photoresist pattern collapse and stabilizing the photoresist pattern formation. wherein R, x, y, z, a and b are as defined in the specification.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: July 3, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Cheol Kyu Bok, Young Sun Hwang, Sung Koo Lee, Seung Chan Moon, Ki Soo Shin
  • Patent number: 7223721
    Abstract: A resist removing composition having a superior capability for removing a resist, polymer, organometallic polymer and etching by-products such as metal oxide, which does not attack underlying layers exposed to the composition and which does not leave residues after a rinsing step. The resist removing composition contains alkoxy N-hydroxyalkyl alkanamide and a swelling agent.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: May 29, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-jin Park, Kyung-dae Kim, Sang-mun Chon, Jin-ho Hwang, Il-hyun Sohn, Sang-oh Park, Pil-kwon Jun
  • Patent number: 7220714
    Abstract: A process for removing residues from the microstructure of an object is provided, which comprises steps of preparing a remover including carbon dioxide, an additive for removing the residues and a co-solvent dissolving the additive in said carbon dioxide at a pressurized fluid condition; and bringing the object into contact with the remover so as to remove the residues from the object. A composition for removing residues from the microstructure of an object is also provided.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: May 22, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Kaoru Masuda, Katsuyuki Iijima, Tetsuya Yoshikawa, Darry W. Peters
  • Patent number: 7216653
    Abstract: A cleaning method for removing foreign bodies during the fabrication of semiconductor devices including treating a substrate with a cleaning solution including an oxidizer to form a chemical oxide layer and then removing the chemical oxide layer, thereby removing foreign bodies from a surface of the semiconductor substrate. Accordingly, the foreign bodies can be substantially removed from the surface of the substrate without corroding a metal.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: May 15, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Sang-Jun Choi, Chang-Ki Hong
  • Patent number: 7211553
    Abstract: A dense cleaning fluid for removing contaminants from a substrate and a method comprising same is disclosed herein. In one embodiment of the present invention, the dense cleaning fluid comprises a dense fluid and at least one acetylenic diol or acetylenic alcohol surfactant.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: May 1, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Hoshang Subawalla, Gene Everad Parris, Madhukar Bhaskara Rao, Christine Peck Kretz
  • Patent number: 7208455
    Abstract: Methods and solutions for forming self assembled organic monolayers that are covalently bound to metal interfaces are presented along with a device containing a self assembled organic monolayer. Embodiments of the present invention utilize self assembled thiolate monolayers to prevent the electromigration and surface diffusion of copper atoms while minimizing the resistance of the interconnect lines. Self assembled thiolate monolayers are used to cap the copper interconnect lines and chemically hold the copper atoms at the top of the lines in place, thus preventing surface diffusion. The use of self assembled thiolate monolayers minimizes the resistance of copper interconnect lines because only a single monolayer of approximately 10 ? and 20 ? in thickness is used.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: April 24, 2007
    Assignee: Intel Corporation
    Inventor: David H. Gracias
  • Patent number: 7208454
    Abstract: A cleaning solution for a cured anti-reflective layer (AFC layer) component and a method of cleaning an anti-reflective layer component by using the same, wherein the cleaning solution comprises about 5–30% by weight of ammonium hydroxide, about 23–70% by weight of an organic solvent and about 10–50% by weight of water. When an organic material is spattered to adjacent equipment during implementing a coating process onto a wafer, the equipment is detached and then is dipped into the cleaning solution. Thereafter, the equipment is rinsed and dried. Cured and non-cured organic materials are advantageously removed. Cured organic materials left for a period of time, particularly anti-reflective layer components are advantageously removed.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: April 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Jin Park, Kyung-Dae Kim, Hoi-Sik Chung, Pil-Kwon Jun, Young-Ho Kim
  • Patent number: 7205265
    Abstract: A remover composition and method for removing resists from substrates containing nucleophilic amine and at least one solvent is described. Optionally, a chelating agent can also be included in the remover composition. The remover composition is especially suitable for removing a variety of resists from substrates at different stages in the process of manufacturing integrated circuits.
    Type: Grant
    Filed: July 30, 2003
    Date of Patent: April 17, 2007
    Assignee: EKC Technology, Inc.
    Inventor: Wai Mun Lee
  • Patent number: 7199091
    Abstract: Recently, use is made of copper wiring as the wiring material for semiconductor devices, and of low dielectric constant films as the insulating film between the lines of wiring. In this connection, a photoresist stripper is in need which can inhibit corrosion or damage on the copper wiring or the Low-k film, and which has excellent property of removing ashed photoresist residues. The invention provides a photoresist stripper (hereinafter, referred to as the stripper of the invention) characterized in containing a tertiary amine compound, an alkaline compound, a fluoro compound, and an anionic surfactant; and a process for preparation of semiconductor devices using the stripper of the invention.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: April 3, 2007
    Assignee: Dongwoo Fine-Chem Co., Ltd.
    Inventor: Masayuki Takashima
  • Patent number: 7192910
    Abstract: Composition for cleaning or etching a semiconductor substrate and method for using the same. The composition may include a fluorine-containing compound as an active agent such as a quaternary ammonium fluoride, a quaternary phosphonium fluoride, sulfonium fluoride, more generally an -onium fluoride or “multi” quaternary -onium fluoride that includes two or more quaternary- onium groups linked together by one or more carbon-containing groups. The composition may further include a pH adjusting acid such as a mineral acid, carboxylic acid, dicarboxylic acid, sulfonic acid, or combination thereof to give a pH of about 2 to 9. The composition can be anhydrous and may further include an organic solvent such as an alcohol, amide, ether, or combination thereof. The composition are useful for obtaining improved etch rate, etch selectivity, etch uniformity and cleaning criteria on a variety of substrates.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: March 20, 2007
    Assignee: Sachem, Inc.
    Inventors: William A. Wojtczak, Dean Dewulf, Sian Collins
  • Patent number: 7172996
    Abstract: The present invention relates to a composition for cleaning a photoresist and is to provide a cleaning composition wherein the residue of the photoresist does not remain on the boundary surface between the cleaned area and the not-cleaned area after a negative photoresist containing pigment is cleaned, soft-baked, exposed and developed. The present invention provides a composition for cleaning a positive or negative photoresist which comprises (a) from 0.1 to 20 wt. % of and alkyl oxide polymer with a molecular weight of from 50 to 2000 and (b) from 80 to 99.9 wt. % of an organic solvent comprising: (b?1) from 1 to 20 parts by weight of dipropylene glycol methyl ether (DPGME), from 10 to 50 parts by weight of N-methyl pyrolidone (NMP) and from 50 to 90 parts by weight of methyl isobutyl ketone (MIBK), or (b?2) from 10 to 90 parts by weight of dimethyl formaldehyde (DMF) or dimethylacetamide (DMAc) and from 10 to 50 parts by weight of n-butyl acetate.
    Type: Grant
    Filed: January 9, 2003
    Date of Patent: February 6, 2007
    Assignee: Az Electronic Materials USA Corp.
    Inventors: Sae-Tae Oh, Doek-Man Kang, Kyung-Soo Choi
  • Patent number: 7166419
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: January 23, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: 7163646
    Abstract: A solvent composition comprising 1,1,1,2,2,3,3,4,4,5,5,6,6-tridecafluorohexane (R52-13), trans-1,2-dichloroethylene (tDCE) and a C1-3 alcohol, wherein the content of R52-13 is from 25.0 to 75.0% (by mass, and the same applies hereinafter), the content of tDCE is from 15.0 to 74.9% and the content of the C1-3 alcohol is from 0.1 to 10.0%, to the total amount of R52-13, tDCE and the C1-3 alcohol. This solvent composition can remove oils and greases and flux with a high cleaning performance.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: January 16, 2007
    Assignee: Asahi Glass Company, Limited
    Inventor: Tsuyoshi Hanada
  • Patent number: 7163645
    Abstract: A solvent composition comprising 1,1,2,2-tetrafluoroethyl-2,2,2-trifluoroethyl ether in an amount of from 25.0 to 75.0% (by mass, and the same applies hereinafter), trans-1,2-dichloroethylene in an amount of from 15.0 to 74.9%, and a C1-3 alcohol in an amount of from 0.1 to 10.0%, to the total amount of the 1,1,2,2-tetrafluoroethyl-2,2,2-trifluoroethyl ether, the trans-1,2-dichloroethylene and the C1-3 alcohol. The solvent composition of the present invention can remove soil such as flux with a high cleaning performance.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: January 16, 2007
    Assignee: Asahi Glass Company, Limited
    Inventors: Tsuyoshi Hanada, Masaaki Tsuzaki
  • Patent number: 7157415
    Abstract: A new cleaning chemistry based on a choline compound, such as choline hydroxide, is provided in order to address the problem of dual damascene fabrication. An etch stop inorganic layer at the bottom of a dual damascene structure protects the underlying interconnect of copper and allows a better cleaning. A two step etch process utilizing the etch stop layer is used to achieve the requirements of ULSI manufacturing in a dual damascene structure.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: January 2, 2007
    Assignee: EKC Technology, Inc.
    Inventors: Catherine M. Peyne, David J. Maloney, Shihying Lee, Wai Mun Lee, Leslie W. Arkless
  • Patent number: 7151080
    Abstract: A composition for stripping and cleaning organic coatings from substrates, comprising a solution of high-boiling alcohols, preferably polyglycols, a surfactant, preferably a nonylphenol ethoxylate, and an alkali metal hydroxide, said composition being essentially free of any amines. The composition aggressively and effectively strips paints and other organic coatings without harming underlying substrates damaged by prior art strippers, over conventional or lower time periods, and at conventional or lower temperatures. One embodiment of the invention comprises from about 40% to about 98.9% by weight of a high-boiling alcohol; from about 1% to about 60% of a non-ionic surfactant; and from about 0.1% to about 10% of an alkali hydroxide or mixture of alkali hydroxide.
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: December 19, 2006
    Assignee: Kolene Corporation
    Inventors: Rick Anthony Dostie, James Christopher Malloy
  • Patent number: 7144848
    Abstract: The present invention is directed to resist and etching residue removing compositions containing at least one nucleophilic amine compound possessing reduction and oxidation potentials, a two-carbon atom linkage alkanolamine compound, and optionally water and/or one or more corrosion inhibitors. The compositions may be substantially free of hydroxylamine, polar organic solvents, water, corrosion inhibitors, or a combination thereof. The compositions are useful in processes for removing resists and etching residue from metal or metal alloy substrates or substrate layers used in micro-circuitry fabrication.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: December 5, 2006
    Assignee: EKC Technology, Inc.
    Inventors: De-Ling Zhou, Jing Qiao, Shihying Lee, Bakul P. Patel, Becky Min Hon
  • Patent number: 7144849
    Abstract: A composition for removing resists and etching residue from substrates containing at least one nucleophilic amine compound having oxidation and reduction potentials, at least one organic solvent, water and, optionally, a chelating agent is described. The chelating agent is preferred to be included since it provides added stability and activity to the cleaning composition so that the composition has long term effectiveness. If a chelating agent is not present, the composition, while providing for adequate stripping and cleaning upon initial use of the composition following mixing, has only short term stability. In this latter instance, the nucleophilic amine compound and organic solvent components of the composition preferably are maintained separate from each other until it is desired to use the composition. Thereafter, the components are combined. Following use of the composition, the non-used portion of the composition can be disposed of or be reactivated by the addition of a chelating agent.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: December 5, 2006
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Charles U. Pittman, Jr., Robert J. Small
  • Patent number: 7138362
    Abstract: There is provided a washing liquid composition for a semiconductor substrate having a contact angle between the surface thereof and water dropped thereon of at least 70 degrees, the washing liquid composition including an aliphatic polycarboxylic acid and a surfactant, and the washing liquid composition having a contact angle of at most 50 degrees when dropped on the semiconductor substrate. It is thereby possible to effectively remove particles and metals on the surface of a hydrophobic substrate without corroding it.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: November 21, 2006
    Assignees: Kanto Kagaku Kabushiki Kaisha, NEC Electronics Corporation
    Inventors: Yumiko Abe, Norio Ishikawa, Hidemitsu Aoki, Hiroaki Tomimori, Yoshiko Kasama
  • Patent number: 7135444
    Abstract: A composition for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid). The water can be present in about 40 wt. % to about 85 wt. % of the composition, the phosphoric acid can be present in about 0.01 wt. % to about 10 wt. % of the composition, and the organic acid can be present in about 10 wt. % to about 60 wt. % of the composition. The composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: November 14, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Max F. Hineman
  • Patent number: 7129199
    Abstract: Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain preferred embodiments, the process solution of the present invention may reduce post-development defects such as pattern collapse when employed as a rinse solution either during or after the development of the patterned photoresist layer. Also disclosed is a method for reducing the number of pattern collapse defects on a plurality of photoresist coated substrates employing the process solution of the present invention.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: October 31, 2006
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Peng Zhang, Danielle Megan King Curzi, Eugene Joseph Karwacki, Jr., Leslie Cox Barber
  • Patent number: 7129029
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: October 31, 2006
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: 7119052
    Abstract: A composition including supercritical fluid and at least one additive selected from fluoro species, and primary and/or secondary amines, optionally with co-solvent, low k material attack-inhibitor(s) and/or surfactant(s). The composition has particular utility for cleaning of semiconductor wafers to remove post-ashing residues therefrom.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: October 10, 2006
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Chongying Xu, Thomas H. Baum, David Minsek, Eliodor G. Ghenciu
  • Patent number: 7105475
    Abstract: A cleaning method for removing foreign bodies during the fabrication of semiconductor devices including treating a substrate with a cleaning solution including an oxidizer to form a chemical oxide layer and then removing the chemical oxide layer, thereby removing foreign bodies from a surface of the semiconductor substrate. Accordingly, the foreign bodies can be substantially removed from the surface of the substrate without corroding a metal.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: September 12, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Sang-Jun Choi, Chang-Ki Hong
  • Patent number: 7105474
    Abstract: Disclosed is an organic stripping composition and a method of etching a semiconductor device in which the generation of an Si pitting phenomenon can be prevented. The composition includes a compound including a hydroxyl ion (OH?), a compound including a fluorine ion (F?) and a sufficient amount of an oxidizing agent to control the pH of the composition within the range of from about 6.5 to about 8.0. The method includes dry etching an oxide by a dry etching using a plasma, and then ashing the etched oxide using an ashing process to remove an organic material. The method further includes supplying the organic stripping composition to remove residues including any residual organic material, a metal polymer, and an oxide type polymer. The stripping composition is stable onto various metals and does not induce the Si pitting phenomenon.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: September 12, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Hyun Kim, Byoung-Moon Yoon, Kyung-Hyun Kim, Chang-Lyong Song, Yong-Sun Ko
  • Patent number: 7091165
    Abstract: A composition for removing a copper-compatible resist includes: about 0.1% to about 10% by weight of an alkylbenzenesulfonic compound; about 10% to about 99% by weight of a glycolether compound; and about 0.5% to about 5% by weight of a corrosion inhibitor.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: August 15, 2006
    Assignees: LG.Philips LCD Co., Ltd., Dongjin Semichem Co., Ltd.
    Inventors: Gyoo-Chul Jo, Gee-Sung Chae, Oh-Nam Kwon, Kyoung-Mook Lee, Yong-Sup Hwang, Seong-Bae Kim, Suk-Chang Jang
  • Patent number: 7091163
    Abstract: A flushing solution containing: a) a solvent component, b) an alkaline source; and c) a corrosion inhibitor component is provided. The solvent component preferably contains an alkoxylated aromatic alcohol. The corrosion inhibitor component may contain a fatty acid salt, a mixture of an aliphatic phosphate ester and a buffering agent such as boric acid or phosphoric acid, a mixture of a fatty acid salt, aliphatic phosphate ester, and buffering agent, or a mixture of a fatty acid salt and buffering agent. Alkanolamines are preferably used to form the fatty acid salt and/or as the alkaline source. The flushing solutions can be prepared in stable concentrated form and are useful for removing coatings such as paint from substrate surfaces.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: August 15, 2006
    Assignee: Henkel Kommanditgesellschaft auf Aktien
    Inventor: Neil R. Wilson
  • Patent number: 7087561
    Abstract: A composition for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid). The water can be present in about 40 wt. % to about 85 wt. % of the composition, the phosphoric acid can be present in about 0.01 wt. % to about 10 wt. % of the composition, and the organic acid can be present in about 10 wt. % to about 60 wt. % of the composition. The composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: August 8, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Max F. Hineman
  • Patent number: 7087563
    Abstract: A resist stripping composition capable of reliably stripping off resist residue or polymer residue and keeping damage to the interconnects to a minimum and a method of producing a semiconductor device using the same, where the resist stripping composition comprises a salt of hydrofluoric acid and a base not including a metal, an organic solvent, a sugar alcohol such as xylitol, and water and has a hydrogen ion concentration of at least 8.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: August 8, 2006
    Assignees: Sony Corporation, EKC Technology K.K.
    Inventors: Hayato Iwamoto, Ryuichi Kanamura, Ai Endou, Tomoko Suzuki, Toshitaka Hiraga
  • Patent number: 7084098
    Abstract: Disclosed herein are a brown oxide pretreatment composition for cleaning a copper surface and improving adhesion of a polyimide surface, and a method for improving adhesion of a polyimide surface by applying the composition to a brown oxide process. The brown oxide pretreatment composition for cleaning a copper surface and improving adhesion of a polyimide surface comprises, 5˜15 g/L of an amine; 190˜210 g/L of a hydroxide compound; at least one additive selected from 3˜6 g/L of a cleaner adjuvant, 0.1˜5 g/L of a antifoaming agent and 1˜10 g/L of a precipitation inhibitor; and the balance of water. When the brown oxide pretreatment composition for cleaning a copper surface and improving adhesion of a polyimide surface is applied to a pretreatment step of a brown oxide process, it can clean a copper surface and improve adhesion of a polyimide surface.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: August 1, 2006
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Bum-Young Myoung, Byoung-Ho Rhee, Yang-Je Lee, Dek-Gin Yang
  • Patent number: 7084097
    Abstract: The present invention relates to a cleaning solution capable of removing efficiently at the same time particles and metallic impurities from a substrate surface without corroding metallic materials. The cleaning solution for cleaning substrates of electronic materials comprises an organic acid compound and at least one selected from the group consisting of dispersants and surfactants.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: August 1, 2006
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Norio Ishikawa, Yumiko Abe, Kiyoto Mori
  • Patent number: 7078371
    Abstract: The cleaning composition of the present invention is characterized by containing N-hydroxyformamide. The cleaning composition is capable of easily removing patterned photoresist masks or resist residues remaining on substrates after the etching process or removing resist residues remaining after the etching process and the subsequent ashing process within a short period of time without causing the corrosion of wiring materials and insulating films, thereby ensuring the fine processing to provide high-precision wiring circuits.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: July 18, 2006
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventor: Kazuto Ikemoto
  • Patent number: 7067466
    Abstract: A composition for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid). The water can be present in about 40 wt. % to about 85 wt. % of the composition, the phosphoric acid can be present in about 0.01 wt. % to about 10 wt. % of the composition, and the organic acid can be present in about 10 wt. % to about 60 wt. % of the composition. The composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Max F. Hineman
  • Patent number: 7067465
    Abstract: A composition for use in semiconductor processing wherein the composition comprises water, phosphoric acid, and an organic acid; wherein the organic acid is ascorbic acid or is an organic acid having two or more carboxylic acid groups (e.g., citric acid). The water can be present in about 40 wt. % to about 85 wt. % of the composition, the phosphoric acid can be present in about 0.01 wt. % to about 10 wt. % of the composition, and the organic acid can be present in about 10 wt. % to about 60 wt. % of the composition. The composition can be used for cleaning various surfaces, such as, for example, patterned metal layers and vias by exposing the surfaces to the composition.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Max F. Hineman
  • Patent number: 7056872
    Abstract: Cleaning solutions for removing photoresist resins remaining on the underlying layer patterns formed by photolithography process using the photoresist patterns as etching mask. The cleaning solution for removing photoresist comprises H2O as solvent, amine compounds, hydrazine hydrate, transition metal-removing material and alkali metal-removing material. Photoresist coated on the top portion of underlying layers can be rapidly and effectively removed by the disclosed cleaning solution. In addition, the cleaning solution is environment-friendly because H2O is used as the solvent, and has little effect on metal layers when underlying layers are formed of metals.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: June 6, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Jae Chang Chung, Ki Soo Shin, Kee Joon Oh
  • Patent number: 7051742
    Abstract: A composition for removing resists and etching residue from substrates containing at least one nucleophilic amine compound having oxidation and reduction potentials, at least one organic solvent, water and, optionally, a chelating agent is described. The chelating agent is preferred to be included since it provides added stability and activity to the cleaning composition so that the composition has long term effectiveness. If a chelating agent is not present, the composition, while providing for adequate stripping and cleaning upon initial use of the composition following mixing, has only short term stability. In this latter instance, the nucleophilic amine compound and organic solvent components of the composition preferably are maintained separate from each other until it is desired to use the composition. Thereafter, the components are combined. Following use of the composition, the non-used portion of the composition can be disposed of or be reactivated by the addition of a chelating agent.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: May 30, 2006
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Charles U. Pittman, Jr., Robert J. Small
  • Patent number: 7053030
    Abstract: A silicone hyper-branched polymer surfactant is included in a rinsing solution which may be used to remove photoresist residues.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: May 30, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Mi Kim, Jae-Ho Kim, Young-Ho Kim, Sang-Woong Yoon, Boo-Deuk Kim, Shi-Yong Lee
  • Patent number: 7049275
    Abstract: The photoresist stripping composition of the present invention contains at least one oxymethylamine compound represented by the following formula 1: wherein R1 to R3 are as defined in the specification. Of the oxymethylamine compound of the formula 1, the compound represented by the following formula 7: wherein R2 to R5 and n are as defined in the specification, is a novel compound.
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: May 23, 2006
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kazuto Ikemoto, Yoshiaki Yamamoto, Hiroshi Yoshida, Taketo Maruyama
  • Patent number: 7033978
    Abstract: Cleaning solutions for removing residuals from the surface of an integrated circuit device. Such cleaning solutions find particular application in the fabrication of a dual damascene structure following removal of excess portions of a silver-containing metal layer from a device surface. The cleaning solutions facilitate removal of particulate residuals as well as unremoved portions of the metal layer in a single cleaning process. The cleaning solutions are dilute aqueous solutions containing hydrogen peroxide and at least one acidic component and are substantially free of particulate material. Acidic components include carboxylic acids and their salts.
    Type: Grant
    Filed: January 31, 2003
    Date of Patent: April 25, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Michael T. Andreas
  • Patent number: 7018964
    Abstract: The cleaning composition of this invention comprises, as effective components, a compound (A) represented by the formula (1) and a nonionic surfactant (B): wherein R1 represents a hydrogen atom or a straight-chain or branched-chain alkyl group having 1 to 5 carbon atoms and R2 represents a hydrogen atom or a straight-chain or branched-chain alkyl group having 1 to 5 carbon atoms. The cleaning composition of the invention is excellent in detergency and is satisfactory in respect of environmental protection properties, odor and flammability. An article to be cleaned can be cleaned by coming into contact with this cleaning composition.
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: March 28, 2006
    Assignee: Arakawa Chemical Industries, Ltd.
    Inventors: Junichi Maeno, Shugo Kawakami, Kazutaka Zenfuku
  • Patent number: 7015183
    Abstract: The present invention relates to a resist remover composition for removing resists during manufacturing processes of semiconductor devices such as integrated circuits, large scale integrated circuits and very large scale integrated circuits. The composition comprises (a) 10 to 40 wt. % of a water-soluble organic amine compound, (b) 40 to 70 wt. % of water-soluble organic solvents selected from a group consisting of dimethyl sulfoxide (DMSO), N-methyl pyrrolidone (NMP) dimethylacetamide (DMAc), dimethylformamide (DMF) and a mixture thereof, (c) 10 to 30 wt. % of water, (d) 5 to 15 wt. % of an organic phenol compound containing two or three hydroxyl groups, (e) 0.5 to 5 wt. % of anion type compound containing perfluoroalkyl, and (f) 0.01 to 1 wt. % of a polyoxyethylenealkylamine ether-type surfactant.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: March 21, 2006
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Ji-Hum Baik, Chang-Il Oh, Chong-Soon Yoo
  • Patent number: 7015182
    Abstract: A detergent composition for cleaning a precision part, comprising an organic solvent, 5 to 30% by weight of a glyceryl ether having an alkyl group or alkenyl group having 4 to 12 carbon atoms, and 5% by weight or more of water. The detergent composition can be used for cleaning a precision part such as a metal part, an electronic part, a semiconductor part or a liquid crystal display panel.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: March 21, 2006
    Assignee: Kao Corporation
    Inventors: Katsuhiko Rindo, Ryoichi Hashimoto, Masataka Negishi
  • Patent number: 7012051
    Abstract: A composition for removing resist, polymeric material and/or etching residue from a substrate comprising titanium or an alloy thereof, the composition comprising hydroxylamine or a derivative thereof and at least one compound having the general formula (I) wherein: R1 and R3 are each independently selected from H, OH, CO2H, halogen, C1–C3 alkyl, C1–C3 alkoxy or (CH2)nOH wherein n is 1, 2 or 3; and R2 is selected from C9–C16 alkyl, or C9–C16 alkoxy
    Type: Grant
    Filed: April 12, 2001
    Date of Patent: March 14, 2006
    Assignee: EKC Technology, Ltd.
    Inventors: Jerome Daviot, Stanley Affrossman, Douglas Holmes
  • Patent number: 7001874
    Abstract: A non-corrosive cleaning composition for removing residues from a substrate. The composition comprises: (a) water; (b) at least one hydroxylammonium compound; (c) at least one basic compound, preferably selected from the group consisting of amines and quaternary ammonium hydroxides; (d) at least one organic carboxylic acid; and (e) optionally, a polyhydric compound. The pH of the composition is preferably between about 2 to about 6.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: February 21, 2006
    Assignee: Arch Specialty Chemicals, Inc.
    Inventors: Kenji Honda, Michelle Elderkin, Vincent Leon
  • Patent number: 6998225
    Abstract: A method of producing a compound semiconductor device using a lift-off process. The lift-off process includes forming a resist mask having an electrode opening on an active layer of a compound semiconductor that is on a substrate of a compound semiconductor; forming a metal layer on the resist mask and the active layer in the electrode opening; and dissolving the resist mask and removing the metal layer on the resist mask, leaving the metal layer on the active layer in the electrode opening as an electrode. The resist mask is removed sufficiently by using a resist remover consisting essentially of at least one compound selected from an amine-including compound and nitrogen-including cyclic compounds so that the residual resist mask need not be removed by ashing.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: February 14, 2006
    Assignees: Mitsubishi Denki Kabushiki Kaisha, EKC Technology Kabushiki Kaisha
    Inventors: Akiyoshi Kudo, Hiroshi Kobayashi, Takanori Matsumoto
  • Patent number: 6992050
    Abstract: A stripping composition comprising (a) an anticorrosive agent, (b) a stripping agent and (c) a solvent, wherein the anticorrosive agent (a) is a heterocyclic compound having a nitrogen atom-containing six-membered ring.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: January 31, 2006
    Assignee: NEC Corporation
    Inventors: Tatsuya Koita, Keiji Hirano, Hidemitsu Aoki, Hiroaki Tomimori
  • Patent number: 6989358
    Abstract: A photoresist cleaning composition for removing photoresist and ion implanted photoresist from semiconductor substrates. The cleaning composition contains supercritical CO2 (SCCO2) and alcohol for use in removing photoresist that is not ion-implanted. When the photoresist has been subjected to ion implantation, the cleaning composition additionally contains a fluorine ion source. Such cleaning composition overcomes the intrinsic deficiency of SCCO2 as a cleaning reagent, viz., the non-polar character of SCCO2 and its associated inability to solubilize species such as inorganic salts and polar organic compounds that are present in the photoresist and that must be removed from the semiconductor substrate for efficient cleaning. The cleaning composition enables damage-free, residue-free cleaning of substrates having photoresist or ion implanted photoresist thereon.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: January 24, 2006
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Eliodor G. Ghenciu, Chongying Xu, Thomas H. Baum
  • Patent number: 6984613
    Abstract: The present invention is directed to methods for polishing and cleaning a wafer having CoFeNi structures within alumina fill to achieve corrosion-free, smooth, and planar surface. A preferred chemical mechanical polishing (CMP) method includes a CMP polishing compound including alumina abrasive particulates, 1H-Benzotriazole (BTA), and hydrogen peroxide (H2O2). A cleaning solution for CoFeNi structures in alumina fill of the present invention preferably includes 4-Methyl-1H-Benzotriazole in a concentration range of from 1% to 5%, 5-Methyl-1H-Benzotriazole in a concentration range of from 1% to 5%, hydrogenated 4-Methyl-1H-Benzotriazole in a concentration range of from 1% to 5%, hydrogenated 5-Methyl-1H-Benzotriazole in a concentration range of from 1% to 5%, sodium octanoate in a concentration range of from 5% to 10%, and water in a concentration range of from 65% to 95%. The cleaning solution is typically used with DI water to create an applied solution having a range of from 0.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: January 10, 2006
    Assignee: Hitachi Global Storage Technologies Netherlands, B.V.
    Inventors: Hung-Chin Guthrie, Ming Jiang, Nick Lara
  • Patent number: 6967169
    Abstract: A semiconductor wafer cleaning formulation, including 1-21% wt. fluoride source, 20-55% wt. organic amine(s), 0.5-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 23-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: November 22, 2005
    Assignee: Advanced Technology Materials, Inc.
    Inventors: William A. Wojtczak, Ma. Fatima Seijo, David Bernhard, Long Nguyen
  • Patent number: 6958312
    Abstract: A composition for removing a copper-compatible resist includes about 10% to about 30% by weight of an amine compound, about 10% to about 80% by weight of a glycolether compound, and about 10% to about 80% by weight of a polar solvent.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: October 25, 2005
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Gee-Sung Chae, Yong-Sup Hwang, Cyoo-Chul Jo, Oh-Nam Kwon, Kyoung-Mook Lee, Byung-Uk Kim, Sang-Dai Lee, Jong-Soon Yoo