For Stripping Photoresist Material Patents (Class 510/176)
  • Publication number: 20090099051
    Abstract: The present invention relates to dilute fluoride solutions and methods for cleaning plasma etch residue from semiconductor substrates including such dilute solutions. The compositions and methods according to the invention can advantageously provide both cleaning efficiency and material compatibility.
    Type: Application
    Filed: July 15, 2008
    Publication date: April 16, 2009
    Inventors: Tetsuo Aoyama, Toshitaka Hiraga, Tomoko Suzuki
  • Publication number: 20090082240
    Abstract: A stripping liquid for a semiconductor device is provided that includes an aqueous solution containing a quaternary ammonium hydroxide, an oxidizing agent, an alkanolamine, and an alkali metal hydroxide. There is also provided a stripping method that includes a stripping liquid preparation step of preparing the stripping liquid and a stripping step of removing at least one deposit selected from the group consisting of a photoresist, an anti-reflection film, and an etching residue by means of the stripping liquid obtained in the stripping liquid preparation step.
    Type: Application
    Filed: September 15, 2008
    Publication date: March 26, 2009
    Applicant: FUJIFILM Corporation
    Inventors: Katsuyuki NUKUI, Hiroyuki Seki, Tadashi Inaba
  • Patent number: 7498295
    Abstract: This disclosure discusses cleaning of semiconductor wafers after the Chemical-Mechanical Planarization (CMP) of the wafer during the manufacturing of semiconductor devices. Disclosed is an alkaline chemistry for the post-CMP cleaning of wafers containing metal, particularly copper, interconnects. Residual slurry particles, particularly copper or other metal particles, are removed from the wafer surface without significantly etching the metal, leaving deposits on the surface, or imparting significant contamination to the wafer while also protecting the metal from oxidation and corrosion. Additionally, at least one strong chelating agent is present to complex metal ions in solution, facilitating the removal of metal from the dielectric and preventing re-deposition onto the wafer.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 3, 2009
    Assignee: Air Liquide Electronics U.S. LP
    Inventors: Matthew L. Fisher, Ashutosh Misra
  • Publication number: 20090036344
    Abstract: Resist stripping agents, useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with reduced metal etch rates, particularly copper etch rates, are provided with methods for their use. The preferred stripping agents contain low concentrations of a copper or cobalt salt with or without an added amine to improve solubility of the copper or cobalt salt. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Application
    Filed: October 30, 2007
    Publication date: February 5, 2009
    Inventors: Kimberly Dona Pollard, Michael T. Phenis
  • Publication number: 20090029893
    Abstract: Disclosed is a cleaning liquid for lithography which is characterized by containing a mixed organic solvent which is obtained by mixing (A) at least one solvent selected from ketone organic solvents and glycol ether organic solvents, (B) at least one solvent selected from lactone organic solvents and (C) at least one solvent selected from alkoxy benzenes and aromatic alcohols. This cleaning liquid is highly safe and does not have adverse effects on the environment or the human body, while having basic characteristics necessary for a cleaning liquid for lithography. In addition, this cleaning liquid can be stably supplied at low cost.
    Type: Application
    Filed: February 14, 2007
    Publication date: January 29, 2009
    Inventors: Jun Koshiyama, Hideya Kobari
  • Publication number: 20090029894
    Abstract: The present invention provides a washing method for a device substrate, capable of sufficiently removing a resist attached to a device substrate, particularly a resist attached to fine pore portions of a pattern having a large aspect ratio. A method for washing a device substrate, which comprises a washing step of removing a resist attached to a device substrate by means of a solvent, wherein the solvent is a composition comprising at least one fluorinated compound selected from the group consisting of a hydrofluoroether, a hydrofluorocarbon and a perfluorocarbon, and a fluorinated alcohol.
    Type: Application
    Filed: September 19, 2008
    Publication date: January 29, 2009
    Applicants: ASAHI GLASS COMPANY, LIMITED, NTT Advanced Technology Corporation
    Inventors: Hidekazu Okamoto, Hideo Namatsu
  • Publication number: 20090011967
    Abstract: A composition for removing resists and etching residue from substrates containing at least one nucleophilic amine compound having oxidation and reduction potentials, at least one organic solvent, water and, optionally, a chelating agent is described. The chelating agent is preferred to be included since it provides added stability and activity to the cleaning composition so that the composition has long term effectiveness. If a chelating agent is not present, the composition, while providing for adequate stripping and cleaning upon initial use of the composition following mixing, has only short term stability. In this latter instance, the nucleophilic amine compound and organic solvent components of the composition preferably are maintained separate from each other until it is desired to use the composition. Thereafter, the components are combined. Following use of the composition, the non-used portion of the composition can be disposed of or be reactivated by the addition of a chelating agent.
    Type: Application
    Filed: June 17, 2008
    Publication date: January 8, 2009
    Inventors: Wai Mun Lee, Charles U. Pittman, JR., Robert J. Small
  • Publication number: 20090001314
    Abstract: An improved composition and method for cleaning the surface of a semiconductor wafer are provided. The composition can be used to selectively remove a low-k dielectric material such as silicon dioxide, a photoresist layer overlying a low-k dielectric layer, or both layers from the surface of a wafer. The composition is formulated according to the invention to provide a desired removal rate of the low-k dielectric and/or photoresist from the surface of the wafer. By varying the fluorine ion component, and the amounts of the fluorine ion component and acid, component, and controlling the pH, a composition can be formulated in order to achieve a desired low-k dielectric removal rate that ranges from slow and controlled at about 50 to about 1000 angstroms per minute, to a relatively rapid removal of low-k dielectric material at greater than about 1000 angstroms per minute.
    Type: Application
    Filed: June 25, 2008
    Publication date: January 1, 2009
    Inventor: Donald L. Yates
  • Publication number: 20090005283
    Abstract: Non-aqueous stripping and cleaning compositions for cleaning microelectronics devices, the composition having a least one organic sulfur-containing polar compound as a stripping solvent, at least one water-free source of a strong hydroxide base, and at least one hydroxypyridine stabilizing agent to inhibit detrimental side reactions.
    Type: Application
    Filed: January 31, 2007
    Publication date: January 1, 2009
    Inventor: Sean M. Kane
  • Patent number: 7467632
    Abstract: A photoresist cleaning solution and method for forming photoresist patterns using the same. More specifically, disclosed are a photoresist cleaning solution comprising H2O and an ionic surfactant represented by Formula 1, and a method for forming a photoresist pattern using the same. By spraying the cleaning solution of the present invention over photoresist film before and/or after exposing step, pattern formation in an undesired region caused by ghost images can be removed.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: December 23, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Cheol Kyu Bok
  • Patent number: 7456140
    Abstract: A composition for the stripping of photoresist and the cleaning of residues from substrates, and for silicon oxide etch, comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from about 10 percent by weight to about 95% by weight of a sulfoxide or sulfone solvent, and from about 20 percent by weight to about 50 percent by weight water. The composition may contain corrosion inhibitors, chelating agents, co-solvents, basic amine compounds, surfactants, acids and bases.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: November 25, 2008
    Assignee: EKC Technology, Inc.
    Inventors: Robert J. Small, Bakul P. Patel, Wai Mun Lee, Douglas Holmes, Jerome Daviot, Chris Reid
  • Patent number: 7456141
    Abstract: A photo resist stripper composition includes PGME or its derivatives and ANONE or its derivatives characterized by low toxicity, safe use, free of odors, environment friendly, easy disposal of waste liquid and wastewater; good solution to photo resist material film, proper volatility, excellent stripping capability, good compatibility among different types of photo resist; allowing storage at ambient temperature, low production cost, and not requiring retrofit of the existing equipment.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: November 25, 2008
    Assignee: Echem Solutions Corp.
    Inventors: Ming-Ann Hsu, Kuang-Lung Kuo, Mu-Lin Tsai, Sing-Ru Dai
  • Publication number: 20080287333
    Abstract: Back end photoresist strippers and residue compositions are provided by non-aqueous compositions that are essentially non-corrosive toward copper as well as aluminum and that comprise a polar organic solvent, a hydroxylated amine, and as a corrosion inhibitor fructose.
    Type: Application
    Filed: February 1, 2005
    Publication date: November 20, 2008
    Inventor: Seiji Inaoka
  • Patent number: 7452660
    Abstract: A method and apparatus is provided for using a plasma generated from a processing gas mixture including H2O to efficiently strip photoresist material without causing significant damage to exposed, underlying low k dielectric material. The method includes disposing the processing gas mixture including the H2O over the wafer. The processing gas mixture including the H2O is then transformed into a plasma. The plasma serves to remove the photoresist material from the substrate without adversely affecting the exposed low k dielectric material.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: November 18, 2008
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Reza Sadjadi
  • Publication number: 20080280235
    Abstract: Photoresist strippers and cleaning compositions of this invention are provided by non-aqueous, non-corrosive cleaning compositions that resist galvanic corrosion when used on stacked layer structures of different types of metals at a surface of an electronic device.
    Type: Application
    Filed: March 16, 2006
    Publication date: November 13, 2008
    Inventor: Seiji Inaoka
  • Publication number: 20080271752
    Abstract: The present invention provides a mechanism capable of removing a minute particle adhered to a fine pattern or the like without giving damages to the pattern or the like. After being installed on a device which can perform rotating operation, the high viscosity liquid is dropped on an upper surface of an object such as a photomask to be cleaned by a liquid supply part, and then the photomask is rotated to move the high viscosity liquid. During the movement of the high viscosity liquid, a particle adhered to the object such as the photomask is contained in the high viscosity liquid, and is removed. Further, the particle thus contained in the liquid is prevented from re-adhering to the object such as the photomask by controlling a zeta potential of the high viscosity liquid, and is removed from the object such as the photomask.
    Type: Application
    Filed: June 30, 2008
    Publication date: November 6, 2008
    Applicant: HOYA CORPORATION
    Inventor: Katsuhiro Takushima
  • Publication number: 20080269096
    Abstract: A method and composition for removing bulk and ion-implanted photoresist and/or post-etch residue material from densely patterned microelectronic devices is described. The composition includes a co-solvent, a chelating agent, optionally an ion pairing reagent, and optionally a surfactant. The composition may further include dense fluid. The compositions effectively remove the photoresist and/or post-etch residue material from the microelectronic device without substantially over-etching the underlying silicon-containing layer(s) and metallic interconnect materials.
    Type: Application
    Filed: April 14, 2006
    Publication date: October 30, 2008
    Applicant: Advance Technology Materials, Inc.
    Inventors: Pamela M. Visintin, Michael B. Korzenski, Thomas H. Baum
  • Publication number: 20080261847
    Abstract: A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture.
    Type: Application
    Filed: November 9, 2006
    Publication date: October 23, 2008
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Pamela M. Visintin, Ping Jiang, Michael B. Korzenski, Mackenzie King
  • Publication number: 20080261846
    Abstract: The invention provides cleaning compositions for cleaning microelectronic substrates that are able to essentially completely clean such substrates and inhibit metal corrosion or produce essentially no corrosion of the metal elements of such substrates, and to do so at relatively short cleaning times and relatively low temperatures compared to the cleaning times required for prior art alkaline-containing cleaning compositions. The invention also provides method of using such cleaning compositions to clean microelectronic substrates without producing any significant corrosion of the metal elements of the microelectronic substrate. The cleaning compositions of this invention comprise (a) at least one organic solvent, (b) at least one unneutralized inorganic phosphorus-containing acid, and (c) water. The cleaning compositions of this invention optionally can have present in the compositions other components, such as for example surfactants, metal complexing or chelating agents, corrosion inhibitors, and the like.
    Type: Application
    Filed: April 18, 2006
    Publication date: October 23, 2008
    Inventor: Sean M. Kane
  • Patent number: 7435712
    Abstract: This disclosure discusses cleaning of semiconductor wafers after the Chemical-Mechanical Planarization (CMP) of the wafer during the manufacturing of semiconductor devices. Disclosed is an alkaline chemistry for the post-CMP cleaning of wafers containing metal, particularly copper, interconnects. Residual slurry particles, particularly copper or other metal particles, are removed from the wafer surface without significantly etching the metal, leaving deposits on the surface, or imparting significant contamination to the wafer while also protecting the metal from oxidation and corrosion. Additionally, at least one strong chelating agent is present to complex metal ions in solution, facilitating the removal of metal from the dielectric and preventing re-deposition onto the wafer.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: October 14, 2008
    Assignee: Air Liquide America, L.P.
    Inventors: Ashutosh Misra, Matthew L. Fisher
  • Patent number: 7435711
    Abstract: The present invention provides a cleaning agent for removing the solder flux and method for cleaning the solder flux which exhibit the excellent cleaning property even at the time of cleaning a lead-free soldering flux, a high-melting-point solder flux or the like and, at the same time, exhibits the excellent rinsing property in the rinsing using an alcoholic solvent in a next step. Accordingly, the present invention provides a cleaning agent for removing the solder flux which sets a content of benzyl alcohol to a value which falls within a range of 70 to 99.9 weight % and a content of amino alcohol to a value which falls within a range of 0.1 to 30 weight % when a content of a glycol compound is below 1 weight % with respect to a total amount of the cleaning agent for removing the solder flux, and sets a content of benzyl alcohol to a value which falls within a range of 15 to 99 weight % and a content of amino alcohol to a value which falls within a range of 0.
    Type: Grant
    Filed: August 10, 2004
    Date of Patent: October 14, 2008
    Assignee: Kaken Tech Co., Ltd.
    Inventors: Shigeo Hori, Hisakazu Takahashi, Hirohiko Furui, Hiroki Nakatsukasa
  • Publication number: 20080242575
    Abstract: Disclosed are a treating liquid for photoresist removal, containing (a) an oxidizing agent (e.g., aqueous hydrogen peroxide), (b) at least one selected from alkylene carbonates and their derivatives (e.g., propylene carbonate), and (c) water; and a method for treating with the treating liquid a substrate having a photoresist film deteriorated after dry-etching treatment thereof or a substrate optionally subjected to plasma-ashing treatment after the dry-etching treatment, and then treating it with a photoresist-stripping liquid for stripping off the photoresist.
    Type: Application
    Filed: June 3, 2008
    Publication date: October 2, 2008
    Inventors: Takayuki Haraguchi, Kazumasa Wakiya, Shigeru Yokoi
  • Publication number: 20080241758
    Abstract: A photoresist stripping solution comprising (a) a carboxyl group-containing acidic compound, (b) at least one basic compound (for example, monoethanolamine, tetraalkylammonium) selected from among alkanolamines and specific quaternary ammonium hydroxides, (c) a sulfur-containing corrosion inhibitor and (d) water, and having a pH value of 3.5-5.5; and a method of stripping photoresists using the same are disclosed. The present invention provides a photoresist stripping solution which is excellent in the effect of protecting metal wirings (in particular, Cu wirings) from corrosion, never damages interlevel films, such as low dielectric layers or organic SOG layers, and shows excellent strippability of photoresist films and post-ashing residues.
    Type: Application
    Filed: June 3, 2008
    Publication date: October 2, 2008
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Publication number: 20080242574
    Abstract: A liquid removal composition and process for removing sacrificial anti-reflective coating (SARC) material from a substrate having same thereon. The liquid removal composition includes at least one fluoride-containing compound, at least one organic solvent, optionally water, and optionally at least one chelating agent. The composition achieves at least partial removal of SARC material in the manufacture of integrated circuitry with minimal etching of metal species on the substrate, such as aluminum, copper and cobalt alloys, and without damage to low-k dielectric materials employed in the semiconductor architecture.
    Type: Application
    Filed: June 7, 2006
    Publication date: October 2, 2008
    Applicant: Advanced Technology Materials, Inc
    Inventors: Melissa K. Rath, David D. Bernhard, Thomas H. Baum, David W. Minsek
  • Publication number: 20080227678
    Abstract: A cleaning liquid for lithography that exhibits equally excellent cleaning performance for resists of a wide variety of compositions, such as various resists for i-line, KrF and ArF, silicic resist and chemical amplification type positive resist, and that excels in post-treatment dryability, being free from any deterioration of resist performance by cleaning. There is provided a cleaning liquid for lithography, comprising at least one member (A) selected from among lower alkyl esters of acetic acid and propionic acid and at least one member (B) selected from among ketones having 5 to 7 carbon atoms per molecule in a mass ratio of (A):(B) of 4:6 to 7:3.
    Type: Application
    Filed: December 26, 2005
    Publication date: September 18, 2008
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Jun Koshiyama, Yasumitsu Taira, Chima Shinohara
  • Publication number: 20080214422
    Abstract: A thinner composition includes propylene glycol ether acetate, methyl 2-hydroxy-2-methyl propionate, and an ester compound such as ethyl lactate, ethyl 3-ethoxy propionate or a mixture thereof.
    Type: Application
    Filed: May 12, 2008
    Publication date: September 4, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Hyun AHN, Eun-Mi BAE, Baik-Soon CHOI, Sang-Mun CHON, Dae-Joung KIM, Kwang-sub YOON, Sang-Kyu PARK, Jae-Ho KIM, Shi-Yong YI, Kyoung-Mi KIM, Yeu-Young YOUN
  • Patent number: 7419945
    Abstract: Cleaning compositions suitable for cleaning microelectronic structures having silicon dioxide, low-k or high-k dielectrics and copper or aluminum metallizations contain an oxidizing agent and a polar organic solvent selected from amides, sulfones, sulfolenes, selenones and saturated alcohols, and optionally other components.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: September 2, 2008
    Assignee: Mallinckrodt Baker, Inc.
    Inventor: Chien-Pin Sherman Hsu
  • Patent number: 7417016
    Abstract: The present invention relates to a composition for the removal of so-called “sidewall residues” from metal surfaces, in particular from aluminium or aluminium-containing surfaces, in particular from aluminium or aluminium-containing surfaces, during the production of semiconductor elements.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: August 26, 2008
    Assignee: BASF SE
    Inventors: Raimund Mellies, Marc Boerner, Lucia Arnold, Andrea Barko, Rudolf Rhein
  • Patent number: 7413848
    Abstract: A method of removing photoresist is provided. In the whole process of removing the photoresist, plasma is not used. Instead, a first solution is used in a first removal step to remove a photoresist layer. Then, a second solution is used in a second removal step to completely remove the photoresist layer. The first solution and the second solution have different polarities, and the polarity of the first solution is large than that of the second solution.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: August 19, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Lien-Sheng Chung, Chi-Hung Wei, Hsin-Hsu Lin
  • Patent number: 7402552
    Abstract: A non-corrosive cleaning composition for removing residues from a substrate. The composition comprises: (a) water; (b) at least one hydroxylammonium compound; (c) at least one basic compound, preferably selected from the group consisting of amines and quaternary ammonium hydroxides; (d) at least one organic carboxylic acid; and (e) optionally, a polyhydric compound. The pH of the composition is preferably between about 2 to about 6.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: July 22, 2008
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Kenji Honda, Michelle Elderkin, Vincent Leon
  • Publication number: 20080169004
    Abstract: The present invention relates to a semi-aqueous cleaning composition used to remove unwanted organic and inorganic residues and contaminants from semiconductor substrates. The cleaning composition comprises a buffering system comprising a polyprotic acid having at least three carboxylic acid groups with a pKa value of about 5 to about 7. The composition also comprises a polyhydric solvent, such as glycerol. A fluoride ion source is also included in the cleaning compositions of the present invention and is principally responsible for removing inorganic residues from the substrate. The cleaning compositions of the present invention have a low toxicity and are environmentally acceptable.
    Type: Application
    Filed: January 11, 2007
    Publication date: July 17, 2008
    Inventor: Aiping Wu
  • Publication number: 20080171682
    Abstract: A front end of the line (FEOL) stripping and cleaning composition for cleaning unashed ion-implanted photoresist from a wafer substrate comprises: a) at least one organic stripping solvent, b) fluoride ions from at least one of ammonium fluoride, ammonium bifluoride or hydrogen fluoride, c) at least one acidifying agent selected from inorganic or organic acids, and d) water, with an oxidizing agent optionally also being present in the composition.
    Type: Application
    Filed: March 13, 2006
    Publication date: July 17, 2008
    Inventors: Sean Michael Kane, Steven A. Lippy
  • Patent number: 7399365
    Abstract: The present invention relates to dilute fluoride solutions and methods for cleaning plasma etch residue from semiconductor substrates including such dilute solutions. The compositions and methods according to the invention can advantageously provide both cleaning efficiency and material compatibility.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: July 15, 2008
    Assignee: EKC Technology, Inc.
    Inventors: Tetsuo Aoyama, Toshitaka Hiraga, Tomoko Suzuki
  • Publication number: 20080167210
    Abstract: A removing solution for photosensitive composition for removal of a pigment-containing photosensitive composition, the solution comprising an alkyleneglycol monoalkyl ether and an aromatic hydrocarbon, as well as one or more solvents selected from among alkyleneglycol monoalkyl ether carboxylic acid esters, alkoxycarboxylic acid esters, alicyclic ketones and acetic acid esters. There is provided a photosensitive composition removing solution with excellent photosensitive composition removal performance.
    Type: Application
    Filed: February 9, 2006
    Publication date: July 10, 2008
    Applicant: SHOWA DENKO K.K.
    Inventors: Masato Kaneda, Yasuhiro Mikawa, Kouichi Terao
  • Publication number: 20080161217
    Abstract: The invention relates to compositions and methods of removing silicon-based anti-reflective coatings/hardmask layers.
    Type: Application
    Filed: January 3, 2007
    Publication date: July 3, 2008
    Inventors: Ruzhi Zhang, Ping-Hung Lu
  • Patent number: 7387130
    Abstract: A composition for removing resists and etching residue from substrates containing at least one nucleophilic amine compound having oxidation and reduction potentials, at least one organic solvent, water and, optionally, a chelating agent is described. The chelating agent is preferred to be included since it provides added stability and activity to the cleaning composition so that the composition has long term effectiveness. If a chelating agent is not present, the composition, while providing for adequate stripping and cleaning upon initial use of the composition following mixing, has only short term stability. In this latter instance, the nucleophilic amine compound and organic solvent components of the composition preferably are maintained separate from each other until it is desired to use the composition. Thereafter, the components are combined.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: June 17, 2008
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Charles U. Pittman, Jr., Robert J. Small
  • Publication number: 20080139436
    Abstract: A method for two step cleaning of semiconductor substrate wherein a first formulation is contacted with the substrate and subsequently a second formulation is contacted with the substrate, optionally followed with a deionized water wash. The first formulation may be remover compositions referred to in the specification, such as a fluoride containing composition, and the second formulation may comprise a basic compound and from 0% to about 90% water, and may further comprise water from 0% to about 92.5% organic solvent.
    Type: Application
    Filed: September 18, 2007
    Publication date: June 12, 2008
    Inventor: Chris Reid
  • Patent number: 7381694
    Abstract: Composition and method for removing photoresist materials from electronic components. The composition is a mixture of at least one dense phase fluid and at least one dense phase fluid modifier. The method includes exposing a substrate to at least one pulse of the composition in a supercritical state to remove photoresist materials from the substrate.
    Type: Grant
    Filed: January 11, 2005
    Date of Patent: June 3, 2008
    Assignee: Los Alamos National Security, LLC
    Inventors: Leisa B. Davenhall, James B. Rubin, Craig M. V. Taylor
  • Publication number: 20080103078
    Abstract: Back end photoresist strippers and cleaning compositions of this invention are provided by amino acid-free, non-aqueous cleaning compositions that are essentially non-corrosive toward copper as well as aluminum and that comprise at least one polar organic solvent, at least one hydroxylated organic amine, and at least one corrosion inhibitor compound with multiple hydroxyl functional groups that is a compound of the formula: T1-[(CR1R2)m—(CR3R4)n]p—(CR5R6)q-T2 where at least one of R1 and R2 OH and if one of R1 and R2 is not OH, it is selected from H, alkyl or alkoxy, m is a whole integer of 1 or greater, R3 and R4 are selected from H, alkyl or alkoxy, n is 0 or a greater whole positive integer, p is a whole integer of 1 or greater; at least one of R5 and R6 is OH and if one of R5 and R6 is not OH, it is selected from H, alkyl or alkoxy, q is a whole integer of 1 or greater; T1 and T2 are selected from H, alkyl, hydroxyalkyl, polyhydroxyalkyl, aminoalkyl, carbonylalkyl or amide groups or T1 and T2 may be co
    Type: Application
    Filed: February 25, 2005
    Publication date: May 1, 2008
    Applicant: MALLINCKRODT BAKER, INC.
    Inventor: Seiji Inaoka
  • Patent number: 7365045
    Abstract: A cleaning solution is provided for cleaning metal-containing microelectronic substrates, particularly for post etch, via formation and post CMP cleaning. The cleaning solution consists of a quaternary ammonium hydroxide, an organic amine, and water. A preferred cleaning solution consists of tetramethylammonium hydroxide, monoethanolamine, and water. The pH of cleaning solution is greater than 10.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: April 29, 2008
    Assignee: Advanced Tehnology Materials, Inc.
    Inventors: Elizabeth L. Walker, Jeffrey A. Barnes, Shahriar Naghshineh, Kevin P. Yanders
  • Patent number: 7361631
    Abstract: A composition and method using same for removing photoresist and/or processing residue from a substrate are described herein. In one aspect, there is provided a composition for removing residue consisting essentially of: an acidic buffer solution having an acid selected from a carboxylic acid or a polybasic acid and an ammonium salt of the acid in a molar ratio of acid to ammonium salt ranging from 10:1 to 1:10; an organic polar solvent that is miscible in all proportions in water; a fluoride, and water wherein the composition has a pH ranging from about 3 to about 7.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: April 22, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Jennifer M. Rieker, Darryl W. Peters, Irl E. Ward
  • Patent number: 7326673
    Abstract: Chemical formulations and methods for removing unwanted material, such as unexposed photoresist, metal oxides, CMP residue, and the like, from semiconductor wafers or other substrates. The formulations utilize a supercritical fluid-based cleaning composition, which may further include (I) co-solvent(s), (II) surfactant(s), (III) chelating agent(s), and/or (IV) chemical reactant(s).
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: February 5, 2008
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Chongying Xu, David W. Minsek, Thomas H. Baum, Matthew Healy
  • Publication number: 20080006305
    Abstract: An aqueous-based composition and process for removing photoresist, bottom anti-reflective coating (BARC) material, and/or gap fill material from a substrate having such material(s) thereon. The aqueous-based composition includes a fluoride source, at least one organic amine, at least one organic solvent, water, and optionally chelating agent and/or surfactant. The composition achieves high-efficiency removal of such material(s) in the manufacture of integrated circuitry without adverse effect on metal species on the substrate, such as copper, and without damage to SiOC-based dielectric materials employed in the semiconductor architecture.
    Type: Application
    Filed: December 1, 2004
    Publication date: January 10, 2008
    Inventors: David D. Bernhard, Yoichiro Fujita, Tomoe Miyazawa, Makoto Nakajima
  • Patent number: 7312186
    Abstract: A cleaning solution for semiconductor substrates comprising a nonionic surface active agent of the formula (1) and/or the formula (2), a chelating agent and a chelating accelerator: CH3—(CH2)l—O—(CmH2mO)n—X ??(1) wherein l, m and n independently represent a positive number, and X represents a hydrogen atom or a hydrocarbon group; CH3—(CH2)a—O—(CbH2bO)d—(CxH2xO)y—X ??(2) wherein a, b, d, x and y independently represent a positive number, b and x are different, and X represents a hydrogen atom or a hydrocarbon group.
    Type: Grant
    Filed: January 5, 2004
    Date of Patent: December 25, 2007
    Assignees: Kanto Chemical Co., Inc., NEC Electronics Corporation
    Inventors: Masayuki Takashima, Yoshiko Kasama, Hiroaki Tomimori, Hidemitsu Aoki
  • Patent number: 7309683
    Abstract: A cleaning composition comprises an alkali solution, pure water, and a surfactant represented by the following chemical formula: R1-OSO3—HA+ wherein R1 is one selected from a group consisting of a butyl group, an isobutyl group, an isooctyl group, a nonyl phenyl group, an octyl phenyl group, a decyl group, a tridecyl group, a lauryl group, a myristyl group, a cetyl group, a stearyl group, an oleyl group, a licenoleyl group and a behnyl group, and A is one selected from a group consisting of ammonia, ethanol amine, diethanol amine and triethanol amine.
    Type: Grant
    Filed: January 19, 2005
    Date of Patent: December 18, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Sup Mun, Chang-Ki Hong, Sang-Jun Choi, Woo-Sung Han
  • Publication number: 20070272275
    Abstract: The present invention is a composition for removal of multi-layer photoresist layers on an electronic device substrate for rework of the photoresist on the substrate, comprising; (i) a solvent blend of at least three discrete solvents, (ii) at least one organic sulfonic acid, and (iii) at least one corrosion inhibitor. The present invention is also a method for using the composition. This composition and method succeed in removing such multi-layer photoresist at temperatures less than 65° C. and in contact times under three minutes, allowing high throughput on single wafer tools.
    Type: Application
    Filed: April 23, 2007
    Publication date: November 29, 2007
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Aiping Wu, John Anthony Marsella
  • Patent number: 7294610
    Abstract: Described are anionic N-substituted fluorinated sulfonamide surfactants, and use thereof in cleaning and in acid etch solutions. The cleaning and etch solutions are used with a wide variety of substrates, for example, in the cleaning and etching of silicon oxide-containing substrates.
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: November 13, 2007
    Assignee: 3M Innovative Properties Company
    Inventors: Patricia M. Savu, William M. Lamanna, Michael J. Parent
  • Patent number: 7273060
    Abstract: The present invention relates to methods and compositions for treating a surface of a substrate by foam technology that includes at least one treatment chemical. The invention more particularly relates to the removal of undesired matter from the surface of substrates with small features, where such undesired matter may comprise organic and inorganic compounds such as particles, films from photoresist material, and traces of any other impurities such as metals deposited during planarization or etching. A method according to the present invention for treating a surface of a substrate comprises generating a foam from a liquid composition, wherein the liquid composition comprises a gas; a surfactant; and at least one component selected from the group consisting of a fluoride, a hydroxylamine, an amine and periodic acid; contacting the foam with the surface of a substrate; and, removing the undesired matter from the surface of the substrate.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: September 25, 2007
    Assignee: EKC Technology, Inc.
    Inventors: Bakul P. Patel, Mihaela Cernat, Robert J. Small
  • Patent number: 7250391
    Abstract: The cleaning composition for removing resists includes a salt of hydrofluoric acid and a base not containing a metal (A component), a water-soluble organic solvent (B1 component), at least one organic acid or inorganic acid (C component), water (D component), and, optionally, an ammonium salt (E1 component), and having a pH 4-8. Thus, in manufacturing a semiconductor device, such as a copper interconnecting process, efficiency of removing resist residue and other etching residue after etching or ashing is improved, and corrosion resistance of a copper and an insulating film is also improved.
    Type: Grant
    Filed: July 11, 2003
    Date of Patent: July 31, 2007
    Assignees: Renesas Technology Corp., Matsushita Electric Industrial Co., Ltd., EKC Technology K.K.
    Inventors: Itaru Kanno, Yasuhiro Asaoka, Masahiko Higashi, Yoshiharu Hidaka, Etsuro Kishio, Tetsuo Aoyama, Tomoko Suzuki, Toshitaka Hiraga, Toshihiko Nagai
  • Patent number: 7241725
    Abstract: The polishing fluid is useful for polishing tantalum-containing barrier materials of a semiconductor substrate. The polishing fluid includes a nitrogen-containing compound having at least two nitrogen atoms comprising imine compounds and hydrazine compounds. The nitrogen-containing compound is free of electron-withdrawing substituents; and the polishing fluid is capable of removing the tantalum-containing barrier materials from a surface of the semiconductor substrate without an abrasive.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: July 10, 2007
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Jinru Bian