Rework Or Engineering Change Patents (Class 700/105)
  • Patent number: 8612042
    Abstract: A method of executing a batch process in a manufacturing environment according to a product recipe, such that the product recipe specifies a plurality of actions and a plurality of parameters, includes performing at least one action of the batch process corresponding to a first version of the product recipe, receiving a second version of the product recipe, such that the second version of the product recipe is distinct from the first version of the product recipe, suspending the execution of the batch process prior to completion of the batch process, and resuming the execution of the batch process according to the second version of the product recipe.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: December 17, 2013
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventors: Nathan W. Pettus, Godfrey R. Sherriff, Aaron C. Jones, Dawn Marruchella
  • Patent number: 8588957
    Abstract: A method of operating a machining system includes comparing one or more features of a cutting tool to information stored on a data chip secured to a tool holder of the cutting tool. The cutting tool is loaded into the machining system, and the information stored on the data chip is compared to cutting tool requirements of a machining program for instructing operation of the machine. When a result of one or more of the comparisons is outside of a predetermined threshold, operation of the machining system is stopped.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: November 19, 2013
    Assignee: Sikorsky Aircraft Corporation
    Inventors: Ryan Patry, Vincent P. Infante, Douglas J. Ventimiglia, Kenneth W. Catino, Shikshit N. Parikh
  • Publication number: 20130289757
    Abstract: An information processing apparatus, article of manufacture and method for discriminating between combined results of a plurality of elements. The apparatus includes: an assigning unit that assigns an element identification value to each of a plurality of basic elements, a generating unit that combines two or more basic elements, among the plurality of basic elements, so as to generate a combined element representing the two or more combined basic elements, and a calculating unit that merges, through an operation corresponding to an element combination method, a plurality of element identification values individually assigned to the plurality of basic elements contained in the combined element so as to calculate one element identification value for the combined element, where two elements are combined by an order-considered or ordered-ignored combination method, the calculating unit merges two corresponding element identification values through an operation where the commutative law holds or does not hold.
    Type: Application
    Filed: April 23, 2013
    Publication date: October 31, 2013
    Applicant: International Business Machines Corporation
    Inventor: Takayuki Yoshizumi
  • Patent number: 8560105
    Abstract: A computer implemented system includes an integrated logistics support system and a product integrity analysis system that utilize an integrated database. The product integrity analysis system includes a failure mode effects and criticality analysis (FMECA) application that is operable to access a plurality of distribution references, analyze a first and a second distribution reference from the plurality of distribution references, and calculate a particular distribution for a particular part type in the first distribution reference using an associated distribution of the particular part type in the second distribution reference.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: October 15, 2013
    Assignee: Raytheon Company
    Inventors: Kenneth W. Virgil, Daniel A. Colica, Mark E. Behrens
  • Patent number: 8560103
    Abstract: A method for designing a formed sheet-metal part using a computing system is described. The method typically includes performing a numerical simulation of the forming process and computing a local property variable associated with points of the part. Problem zones of the sheet-metal part are identified based on the numerical simulation, and a visual representation of the sheet-metal part, in which problem zones are identified, is displayed. Information about a problem zone, such as status of the problem zone and the problem zone's unique identifier, are visually displayed.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: October 15, 2013
    Inventors: Waldemar Kubli, Andreas Krainer
  • Patent number: 8555183
    Abstract: A software-based system for changing structural feature designations within a production environment includes a menu page component adapted to support a menu page; an information document component interfacing with the menu page component and adapted to support at least one information document having structural feature designations of structural features; and an edit component interfacing with the information document component and adapted to view and edit the structural feature designations to expedite flow of materials in the production environment.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: October 8, 2013
    Assignee: The Boeing Company
    Inventors: Varinder K. Mohan, Norman A. Sebby
  • Patent number: 8504324
    Abstract: A system and method analyze reliability of an electronic device using a computing device. The method generates a component coding rule for components of the electronic device, establishes a BOM table for the electronic device according to the component coding rule, and generates component codes for the components according to the component data. The method further classifies the components into different component types according to the component codes, and calculates a failure rate for each of the components according to a parameter equation, obtains mean time between failures (MTBF) of the electronic device by calculating a sum of the failure rates of all the components. In addition, the method generates a reliability analysis report of the electronic device according to the MTBF of the electronic device. and outputs the reliability analysis report to an output device.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: August 6, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Szu-Wei Kuo
  • Publication number: 20130190914
    Abstract: According to one embodiment, a quality analyzer receives aerodynamic performance data for a part that has been manufactured according to a design. The aerodynamic performance data expresses aerodynamic performance of the part as calculated from a plurality of coordinates measured on the part. The quality analyzer determines whether the aerodynamic performance data for the part satisfies minimum aerodynamic performance requirements.
    Type: Application
    Filed: January 24, 2012
    Publication date: July 25, 2013
    Applicant: Bell Helicopter Textron Inc.
    Inventors: Jimmy Charles Narramore, Donald W. Axley, George R. Decker, Benjamin M. Green
  • Patent number: 8489219
    Abstract: Disclosed is an improved buffering method for controlling excess fiber length (EFL) and reducing post-extrusion shrinkage in loose buffer tubes. In this way, the method yields first-quality loose buffer tubes not only during steady-state operation but also during ramping, thereby increasing production efficiency and reducing waste.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: July 16, 2013
    Assignee: Draka Comteq B.V.
    Inventors: Patrick King Strong, Freddy Eugene Lindsey, Jeffrey Scott Barker, William Mark Smartt
  • Publication number: 20130173041
    Abstract: Disclosed is an integrated interfacing system for intelligent defect yield solutions. The integrated interfacing system is configured to have a web server, which initiates a web interface for containing a plurality of functional items provided for clicking to activate a corresponding function. Through the graphical user interface, users may select one or more functions for viewing the multiple solutions regarding wafer yield. The system uses a memory to store the computer-executable instructions for selectively performing corresponding functionalities. When the wafer images are inputted through the interface, the system performs a defect coordinate conversion, dashboard summary, defect screening, defect sampling, defect yield diagnosis, design for yield, yield prediction, pattern diagnosis, data management, and system administration. By the interfacing system, an additional viewing method is also introduced to provision of full-chip viewing over the data retrieved during the wafer manufacturing procedure.
    Type: Application
    Filed: December 28, 2011
    Publication date: July 4, 2013
    Applicant: ELITETECH TECHNOLOGY CO.,LTD.
    Inventor: IYUN LEU
  • Patent number: 8473086
    Abstract: A substrate reworking system (1) improves rework tact time and eliminates unnecessary reworking to perform efficient reworking. The system (1) includes: a defect information acquisition unit (2) for acquiring defect information for an entire region on the target substrate (9); a reworking unit (3) having at least one liquid drop discharge unit (6) for dispensing a liquid drop to a defective part on the target substrate (9) based on the defect information acquired by the defect information acquisition unit (2); and a rework determining unit (4) for determining, for each target substrate (9) and based on the defect information acquired by the defect information acquisition unit (2), whether the reworking unit (3) needs to perform reworking.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: June 25, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yoshinori Nakajima, Toshihiro Tamura
  • Patent number: 8454365
    Abstract: The systems and methods disclosed herein employ a scanning system for capturing highly detailed digital dental models. These models may be used within a dentist's office for a wide array of dental functions including quality control, restoration design, and fitting. These models may also, or instead, be transmitted to dental laboratories that may, alone or in collaboration with the originating dentist or other dental professionals, transform the digital model into a physical realization of a dental hardware item.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: June 4, 2013
    Assignee: 3M Innovative Properties Company
    Inventors: Joseph Boerjes, Simon K. J. Schiessl, Michael P. Girard, Micah J. Rosenbloom, Eric B. Paley, Edward K. Tekeian, Steven V. Weeks, David E. Altobelli, Douglas M. Johnston, Janos Rohaly
  • Patent number: 8437870
    Abstract: System and method for implementing a VM APC platform are described. In one embodiment, the VM APC system comprises a process tool for processing a plurality of wafers, a metrology tool for measuring a sample wafer of the plurality of wafers and generating actual metrology data therefor, and a VM model for predicting metrology data for each of the plurality of wafers. The actual metrology data is received from the metrology tool and used to update the VM model. Key variables of the virtual metrology model are updated only in response to a determination that the VM model is inaccurate and parameters of the VM model are updated responsive to receipt of the actual metrology data for the sample wafer of the plurality of wafers. The system also includes an APC controller for receiving the predicted metrology data and the actual metrology data and controlling an operation of the process tool based on the received data.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: May 7, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Feng Tsai, Andy Tsen, Jin-Ning Sung
  • Publication number: 20130110274
    Abstract: A process controller a procedure module that includes instructions for executing a procedure, and a plurality of supplemental procedure modules, each of which includes instructions for executing one of a plurality of supplemental procedures. The process controller also includes a process monitor processor configured to receive an input electronic signal indicative of a status of a process feature, apply logic based on the input electronic signal, and generate an output electronic signal in response to the input electronic signal. The process controller also includes a sequence engine processor configured to execute the procedure, receive the output electronic signal, apply logic based on the output electronic signal, select one or more of the plurality of supplemental procedures based on the received output electronic signal, and execute the selected one or more of the plurality of supplemental procedures.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 2, 2013
    Applicant: ROCKWELL AUTOMATION TECHNOLOGIES, INC.
    Inventors: Paul R. D'Mura, Kenneth S. Plache, Michael D. Kalan, Kenwood H. Hall, Sujeet Chand
  • Patent number: 8401688
    Abstract: Engineering requirements are defined in an electronic environment. In one embodiment, a method includes providing at least one of a set of master requirements and a set of version requirements to be applied to the electronic product definition, and referencing an electronic reference document from at least one of the set of master requirements and the set of version requirements, the electronic reference document including one or more particular engineering requirements to be applied to the electronic product definition. Alternately, a method includes defining a callout linked to the at least one of the set of master requirements and the set of version requirements, the callout being adapted to supersede a conflicting engineering requirement set forth in at least one of the set of master requirements and the set of version requirements.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: March 19, 2013
    Assignee: The Boeing Company
    Inventors: Allan M. Hansen, Bradley K. Jackson, Lawrence W. Rogers, Scott A. Schieferdecker, David W. Patterson, Philip H. Farcy, Carl E. Bouffiou, Jerry D. Zayic
  • Patent number: 8401687
    Abstract: An assembly model is identified including a plurality of part models. Each part model is a predefined, selectable model corresponding to at least one part in a plurality of assembly parts. The assembly model is presented and a sequence of user selections identifying part models in the assembly model are received through a user interface. User selections can correspond to designations of parts to be removed from the modeled assembly during a disassembly of the assembly. Selected part models are removed from the displayed presentation in response to corresponding user selections. A disassembly order is generated including an ordered grouping of disassembly steps, each disassembly step corresponding to a user selection of a part model in the sequence of user selections. The disassembly steps are ordered based on an order of selection of the corresponding part models. The order of the disassembly order is reversed and an assembly order is generated for the assembly from the reversed disassembly order.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: March 19, 2013
    Assignee: SAP AG
    Inventor: Stephan Kohlhoff
  • Publication number: 20130041494
    Abstract: Deformation of a substrate due to one or more processing steps is determined by measuring substrate alignment data at lithographic processing steps before and after the one or more processing steps. Any abnormal pattern in the alignment data differential is identified by comparing the calculated alignment data differential with previous data accumulated in a database. By comparing the abnormal pattern with previously identified tool-specific patterns for alignment data differential, a processing step that introduces the abnormal pattern and/or the nature of the abnormal processing can be identified, and appropriate process control measures can be taken to rectify any anomaly in the identified processing step.
    Type: Application
    Filed: August 8, 2011
    Publication date: February 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Christopher P. Ausschnitt, Timothy A. Brunner, Allen H. Gabor, Oleg Gluschenkov, Vinayan C. Menon
  • Patent number: 8369975
    Abstract: A method of executing a batch process in a manufacturing environment according to a product recipe, such that the product recipe specifies a plurality of actions and a plurality of parameters, includes performing at least one action of the batch process corresponding to a first version of the product recipe, receiving a second version of the product recipe, such that the second version of the product recipe is distinct from the first version of the product recipe, suspending the execution of the batch process prior to completion of the batch process, and resuming the execution of the batch process according to the second version of the product recipe.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: February 5, 2013
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventors: Nathan W. Pettus, Godfrey R. Sherriff, Aaron C. Jones, Dawn Marruchella
  • Patent number: 8340801
    Abstract: Systems and computer-readable media having computer-executable components are disclosed for generating a representation of flatness defects on a wafer. Data is received describing the thickness of the wafer at a plurality of points on a wafer divided into a plurality of sites. A reference plane is defined for each of the plurality of sites. For each of the sites, an upper plane and a lower plane are defined relative to the reference plane. A determination is made as to which of the plurality of points on the wafer represents a flatness defect by identifying which points are not disposed between the upper plane and lower plane. A representation is then generated depicting a location of each of the flatness defects on the wafer. In some embodiments, a single representation is generated depicting the location of flatness defects on a plurality of wafers.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: December 25, 2012
    Assignee: MEMC Electronic Materials, Inc.
    Inventor: John A. Pitney
  • Publication number: 20120296461
    Abstract: Embodiments of flexible laser manufacturing systems are disclosed herein. A flexible laser manufacturing system configured in accordance with one embodiment includes a plurality of laser processing stations. Each laser processing station can include a laser source configured to generate a laser beam for processing target material, and a first controller coupled to the laser source. The flexible laser manufacturing system also includes a second controller coupled to the first controller of the individual laser processing stations. The second controller is configured to monitor and instruct each of the first controllers for processing target material of each of the corresponding laser processing stations.
    Type: Application
    Filed: August 5, 2011
    Publication date: November 22, 2012
    Inventors: Yefim P. Sukhman, Joseph T. Hillman, Miesha T. Stoute, James W. Rabideau, Christian J. Risser
  • Patent number: 8306645
    Abstract: Manufacturing planning data for a production process that is managed by a manufacturing execution system is updated by way of a plurality of steps, which include: Gathering data from a PLC level relevant to the manufacturing planning data and the execution of the production process; mapping the gathered data with the current manufacturing planning data in order to determine suggested changes between the gathered data and the current manufacturing planning data; applying a predetermined update scenario for the manufacturing planning data depending on the suggested changes to update the manufacturing planning data; and adapting the current production process and optionally following production processes according to the updated manufacturing planning data. The reliability of the so-called standard data used in production planning processes is thus dramatically improved.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: November 6, 2012
    Assignee: Siemens Aktiengesellschaft
    Inventor: Mark Mathieu Theodorus Giebels
  • Patent number: 8301274
    Abstract: An effective method for managing product segments of product production rules within a manufacturing execution system adapted for providing a final product includes the following steps: a) each one of a plurality of sets of product segments are associated within one of a set of product production rules which are stored in a database under a reference standard product production rule (like a simple “manufacture of a car”); b) a command (e.g.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: October 30, 2012
    Assignee: Siemens Aktiengesellschaft
    Inventors: Alessandro Raviola, Elena Reggio
  • Patent number: 8290606
    Abstract: Embodiments of the present invention provide a method, system and computer program product for controlling cancelled orders in a manufacturing environment. In an embodiment of the invention, a method for controlling cancelled orders in a manufacturing environment can be provided.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: October 16, 2012
    Assignee: International Business Machines Corporation
    Inventors: Ivory W. Knipfer, Matthew H. Zemke
  • Patent number: 8260591
    Abstract: Systems and methods to provide a UI includes providing a program with a dynamic content to specify a view; and rendering the view based on the dynamic content.
    Type: Grant
    Filed: April 29, 2004
    Date of Patent: September 4, 2012
    Assignee: Align Technology, Inc.
    Inventors: Samuel J. Kass, Bradley A. Davis, Anil Kumar V. Chillarige, Malia Smith
  • Publication number: 20120191236
    Abstract: System, method and computer program product including instructions executed by a processor system for configuring and controlling a facility to perform a manufacturing process and updating a tool controlling the process according to a model employed for mapping calculated coefficients that characterize non-linear variations observed of a product to actual control parameters governing the processes/tools used by the facility during the manufacturing process. In a semiconductor manufacturing process, the method enables real-time control of variation in an exposure step of a patterning process using an exposure tool to minimize a nonlinear variation in one or more pattern attributes by adjusting the exposure tool or the patterning process corresponding to the calculated coefficients. In the method, measurements of product attributes, obtained by finite sampling over a well defined domain (e.g.
    Type: Application
    Filed: January 24, 2011
    Publication date: July 26, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Christopher P. Ausschnitt
  • Patent number: 8209242
    Abstract: Provided is a statistical inventory management system that optimizes inventory investment using historical usage and/or consumption of an inventory component. Generally, the system determines an target inventory level. Once actual inventory is below the replenishment level, an additional supply of an inventory component is ordered. The target inventory level is determined based at least in part on the usage variability of the component. In one arrangement, the usage of the component over periods of time that correspond to a supplier lead-time of that component are utilized. The usage groups generally include several days (or other time periods) of usage data and typically haw a reduced variance compared to, for example, day-to-day usage. These reduced variance usage groups may be utilized to more accurately calculate future inventory levels for an inventory component. Further, all information is based on actual historical usage data as opposed to unsupported forecasts (e.g., sales growth forecasts).
    Type: Grant
    Filed: September 2, 2005
    Date of Patent: June 26, 2012
    Assignee: Flowvision, LLC
    Inventors: Michael Henderson, David Pytel, Preston McCreary, Gerson Cortes
  • Patent number: 8209042
    Abstract: A server device includes: a recipe storage unit for storing therein at least a recipe used in a manufacturing apparatus; a recipe receiving unit for receiving a modified recipe in case a content of the recipe in the manufacturing apparatus is modified; a recipe accumulation unit for accumulating the recipe received by the recipe receiving unit in the recipe storage unit; a modification information composition unit for composing modification information related to a modification of the content of the recipe; a transmission destination information storage unit for storing therein transmission destination information indicating a transmission destination of the modification information; a transmission destination information acquisition unit for acquiring the transmission destination information from the transmission destination information storage unit; and a modification information transmitting unit for transmitting the modification information to the transmission destination indicated by the transmission des
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: June 26, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Satoko Yamamoto
  • Publication number: 20120143365
    Abstract: A rework system for a vehicle having an inconsistency on a component of the vehicle. A rework assessor is configured to examine a rework parameter array to ascertain whether a rework procedure is to be performed. The rework parameter array defines at least volumetric dimensions of the component, a type of the inconsistency, and location coordinates of the inconsistency with respect to the component or the vehicle. A rework interrogator is in communication with the rework assessor. The rework interrogator is configured to, when a rework is to be performed, identify a candidate for addressing the inconsistency. The candidate selected from the group that includes: a candidate part, a candidate rework procedure, and both the candidate part and the candidate rework procedure.
    Type: Application
    Filed: December 6, 2010
    Publication date: June 7, 2012
    Applicant: THE BOEING COMPANY
    Inventors: Keith L. McIver, Russell Lee Keller, Cong Nghiep Duong, Nicolette P. Yovanof, Myles Leonard Baker, Scott Michael Young, Kevin Michael Roughen, Kelly M. Greene
  • Patent number: 8185420
    Abstract: Approximating cycle times within a material flow network is disclosed. One embodiment includes assigning an approximate cycle time for completion of a part number PN within the material flow network by using a model that rounds the approximate cycle time to a nearest aggregate time period and adjusting the assigned approximate cycle time based on a mathematical function representing an aggregate accuracy of an aggregation of cycle times throughout the material flow network.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: May 22, 2012
    Assignee: International Business Machines Corporation
    Inventors: Alfred Degbotse, Brian T. Denton, Robert J. Milne
  • Publication number: 20120123581
    Abstract: Methods are disclosed for measuring target structures formed by a lithographic process on a substrate. A grating structure within the target is smaller than an illumination spot and field of view of a measurement optical system. The optical system has a first branch leading to a pupil plane imaging sensor and a second branch leading to a substrate plane imaging sensor. A spatial light modulator is arranged in an intermediate pupil plane of the second branch of the optical system. The SLM imparts a programmable pattern of attenuation that may be used to correct for asymmetries between the first and second modes of illumination or imaging. By use of specific target designs and machine-learning processes, the attenuation patterns may also be programmed to act as filter functions, enhancing sensitivity to specific parameters of interest, such as focus.
    Type: Application
    Filed: November 10, 2011
    Publication date: May 17, 2012
    Applicant: ASML Netherlands B.V.
    Inventors: Hendrik Jan Hidde SMILDE, Arno Jan BLEEKER, Patrick WARNAAR, Willem Marie Julia Marcel COENE, Michael KUBIS
  • Publication number: 20120116567
    Abstract: A coating and developing treatment apparatus includes a substrate transfer mechanism; a defect inspection section; means for controlling transfer of a substrate; means for classifying a defect based on the state of the defect; means for storing a transfer route of the substrate by the substrate transfer mechanism when the substrate has been treated by treatment sections; and means for specifying, based on a kind of the defect classified by the defect classification means and the transfer route of the substrate stored in the storage means, a treatment section which is a cause of occurrence of the classified defect, and judging presence or absence of an abnormality of the specified treatment section, wherein the transfer control means controls the substrate transfer mechanism to transfer a substrate bypassing the treatment section which has been judged to be abnormal by the defective treatment specification means.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 10, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Makoto HAYAKAWA, Hiroshi Tomita, Tatsuhei Yoshida
  • Patent number: 8175733
    Abstract: A method, and corresponding computer program product and system, defines and uses marker points within a modeled manufacturing process routing that includes multiple sequenced operations. The method includes receiving user input that defines one or more marker points within the modeled manufacturing process routing and between sequential ones of the operations. The marker points define a user-defined point within a manufacturing process and include one of multiple defined types that each define a different use to be made by the marker point. The method also includes detecting if any marker points of a specified one of the defined types have been defined in the manufacturing process routing. If a marker point having the specified one of the defined types is detected, a predefined computing function is executed that uses the detected marker point.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: May 8, 2012
    Assignee: SAP AG
    Inventor: Mario Rothenburg
  • Patent number: 8175737
    Abstract: Method and apparatus for designing an integrated circuit by adding a plurality of control points to an integrated circuit wafer design. Each control point has at least one attribute. Then, an integrated circuit wafer is manufactured using the integrated circuit wafer design. A defect on the integrated circuit wafer is then located. The control points are adjusted such that they correspond with the defect.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: May 8, 2012
    Assignees: Freescale Semiconductor, Inc., Koninklijke Philips Electronics N.V.
    Inventors: Kevin Dean Lucas, Robert Elliott Boone, James Edward Vasek, William Louis Wilkinson, Christophe Couderc
  • Publication number: 20120103951
    Abstract: A control apparatus for controlling a laser processing machine, includes: a HDD as data storing means for storing shape data on a shape of a processed part to which laser processing of the laser processing machine is applied and data on a processing condition of the laser processing machine; and a CPU as processing condition adjusting means for performing adjustment of the processing condition with reference to the shape data and the data on the processing condition stored in the data storing means. The shape data that is acquired as to a work when the work to which the laser processing is applied is determined to be a defective item and the data on the processing condition that is adjusted by the processing condition adjusting means according to the determination of being a defective item are accumulated in the data storing means in association with each other.
    Type: Application
    Filed: February 7, 2011
    Publication date: May 3, 2012
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Hiroko Takada
  • Patent number: 8165706
    Abstract: Methods are disclosed for generating a representation of flatness defects on a wafer. Data is received describing the thickness of the wafer at a plurality of points on a wafer divided into a plurality of sites. A reference plane is defined for each of the plurality of sites. For each of the sites, an upper plane and a lower plane are defined relative to the reference plane. A determination is made as to which of the plurality of points on the wafer represents a flatness defect by identifying which points are not disposed between the upper plane and lower plane. A representation is then generated depicting a location of each of the flatness defects on the wafer. In some embodiments, a single representation is generated depicting the location of flatness defects on a plurality of wafers.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: April 24, 2012
    Assignee: MEMC Electronic Materials, Inc.
    Inventor: John A. Pitney
  • Publication number: 20120083916
    Abstract: Correction values (?X1 to ?X4) at the X position (X=xe) of a target point (E (xe, ye)) are calculated by calculating the amounts of shift (?X) in the positions of alignment marks (M11 to M14, M21 to M24, M31 to M34, M41 to M44) in the X direction and plotting first spline curves (SL1) using the amounts of shift. Then, a first sub-spline curve (SL1S) is plotted using the correction values (?X1 to ?X4) in order to calculate a correction value (?Xe) at the Y position (Y=ye) of the target point (E (xe, ye)), and the calculated correction value is taken as the correction amount in the X direction. The correction amount in the Y direction is also calculated in the same manner.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 5, 2012
    Inventors: Ryo Yamada, Satoru Yasaka
  • Publication number: 20120078408
    Abstract: Embodiments of the invention provide an advanced control system for steam hydrocarbon reformer furnaces, especially steam methane reformer furnaces. As described herein, the advanced control system may improve the control quality and efficiency of adjusting steam hydrocarbon reformer furnaces operating coefficients. As a result, the steam hydrocarbon reformer furnace operations become more stable, resulting in energy savings and improved furnace yield.
    Type: Application
    Filed: December 9, 2010
    Publication date: March 29, 2012
    Applicant: L'Air Liquide Societe Anonyme Pour L'Etude Et L'Exploitation Des Procedes Georges Claude
    Inventors: Tong Li, Justin Jian Wang
  • Patent number: 8145336
    Abstract: Real-time assembly and part validation (or “containment”) and auto-disposition in a manufacturing environment. Validation and auto-disposition are performed in a real-time, proactive manner where the validation and auto-disposition processing are not coupled to the installation process. Validation problems or issues may therefore be identified and resolved before an assembly or part is needed for installation.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: March 27, 2012
    Assignee: International Business Machines Corporation
    Inventors: Ivory W. Knipfer, Fraser A. Syme, Matthew H. Zemke
  • Patent number: 8145335
    Abstract: A system that re-plans jobs based at least in part on user preferences in response to system component errors includes an exception handler that receives an exception from one of a plurality of components executing a plan to process a job. The system further includes a planner that creates a new plan for the job based at least in part on a model-based planning technique and at least one user preference.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: March 27, 2012
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Wheeler Ruml, Minh Binh Do
  • Patent number: 8145337
    Abstract: A method to enable wafer result prediction from a batch processing tool, includes collecting manufacturing data from a batch of wafers processed in batch in the batch processing tool, to form a batch processing result; defining a degree of freedom of the batch processing result based on the manufacturing data; and performing an optimal curve fitting by trial and error for an optimal function model of the batch processing result based on the batch processing result.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: March 27, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Hsien Lin, Amy Wang, Francis Ko, Jean Wang
  • Patent number: 8135567
    Abstract: A method is provided of emulating and visualizing machine tool behavior for a programmable logic controller logical verification system for manufacturing a motor vehicle. The method includes the steps of constructing a mechanical model. The method also includes the steps of viewing motion of the mechanical model in a motion viewer and determining whether the motion of the mechanical model is acceptable. The method further includes the steps of replicating the motion previously defined with PLC code if the motion of the mechanical model was acceptable and using the accepted motion of the mechanical model to compare the behavior of the PLC code relative to the accepted motion.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: March 13, 2012
    Assignee: Ford Global Technologies, LLC
    Inventors: Joseph G. Walacavage, Jim D. Coburn
  • Patent number: 8126581
    Abstract: A system for optimizing at least one of a design, production, or testing process of a product in a mass manufacturing process includes: a central processing unit; a network interface operatively connected to the central processing unit; a storage device; a memory including logic for execution by the central processing unit, wherein the logic includes: a server handler made up of a client applet and a client interface servlet which are configured for enabling authorized end-user communication; an error data collection handler configured for gathering error data; an error data classification handler; an analysis handler; a suggested actions report handler; and the system further includes a server database configured for storing, modifying, and deleting data.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: February 28, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Kostyk, Theresa C. Kratschmer, Jeff R. Layton, Peter Kenneth Malkin, Stephen G. Perun, Kenneth L. Pyra, Padmanabhan Santhanam, John C. Thomas, Scott W. Weller
  • Publication number: 20120034360
    Abstract: A compact apparatus for automatically making a plurality of flat edibles includes a storage and dispensing unit that makes it unnecessary for a user to pre-measure ingredients. The apparatus also includes a mixing and kneading unit for making dough of optimal consistency. The mixing and kneading unit may be configured to prepare dough. The dough may be prepared by mixing and kneading the ingredients dispensed by the dispensers. The dough prepared may be transferred onto a lower platen from a transfer base by a transfer sweeper. The dough may be flattened in a platen unit. An upper platen and the lower platen of the platen unit may be heated to a pre-programmed temperature for cooking the flat edible. The temperature may also be manually set by the user based on user's preference. The flat may be cooked (e.g., heated, roasted and/or puffed) by the platen unit.
    Type: Application
    Filed: April 14, 2010
    Publication date: February 9, 2012
    Inventor: Nagarkar Pranoti Israni
  • Patent number: 8112168
    Abstract: A manufacturing process including a controller method to generate a tool setting which includes a tool offset and a device offset. The controller method uses a device parameter measurement to update the tool offset and device offset. A tool weight and a device weight is assigned so that only one of the tool offset and device offset is significantly changed during the update. The process may be applied to semiconductor device manufacturing and particularly to integrated circuit fabrication.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: February 7, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Madhu Sudan Ramavajjala, Kristi Bushman, Robert Ray Spangler, Stephen Arlon Meinser, Ronald Charles Roth
  • Patent number: 8108060
    Abstract: System and method for implementing wafer acceptance test (“WAT”) advanced process control (“APC”) are described. In one embodiment, the method comprises performing a key process on a sample number of wafers of a lot of wafers; performing a key inline measurement related to the key process to produce metrology data for the wafers; predicting WAT data from the metrology data using an inline-to-WAT model; and using the predicted WAT data to tune a WAT APC process for controlling a tuning process or a process APC process.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: January 31, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Andy Tsen, Jo Fei Wang, Po-Feng Tsai, Ming-Yu Fan, Jill Wang, Jong-I Mou, Sunny Wu
  • Patent number: 8108059
    Abstract: A design change range determining apparatus assigns a link between the one and another elements to generate link information table regarding the assigned link, and when the element is specified through an input unit, retrieves link information table to obtain the parent node associated with the specified element, obtains a child node associated with the parent node, and further obtains a child node which is a parent node of the obtain child node; and display the obtained parent nodes and child nodes on a display.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: January 31, 2012
    Assignee: Hitachi, Ltd.
    Inventors: Ichiro Harashima, Keisuke Nakashima
  • Publication number: 20110282477
    Abstract: A plurality of substrates are polished simultaneously on the same polishing pad. A sequence of spectra is measured from each zone of each substrate, and for each measured spectrum in the sequence of spectra for each zone of each substrate, a best matching reference spectrum is determined from a library of reference spectra. For each zone of each substrate, a linear function is fit to a sequence of index values associated with the best matching reference spectra. For at least one zone, a projected time at which the zone will reach a target index value is determined based on the linear function, and the polishing parameter for at least one zone on at least one substrate is adjusted such that the at least one zone of the at least one substrate has closer to the target index at the projected time than without such adjustment.
    Type: Application
    Filed: May 17, 2010
    Publication date: November 17, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Harry Q. Lee, Jimin Zhang, Jeffrey Drue David, Boguslaw A. Swedek
  • Patent number: 8055368
    Abstract: In a control device of a plasma processing system, a storage unit is configured to store a reference recipe indicating an order of the plasma processing. An operation unit calculates a state variation value of each of the plasma processing devices by a predetermined timing at a plurality of processing lot intervals. A table generation unit generates an adjusting table for adjusting the reference recipe from the calculated state variation value of each of the plasma processing devices. In addition, a process executing control unit adjusts the reference recipe by using one of the generated adjusting tables for the plasma processing devices by the table generation unit and performs the plasma processing on the target object in the corresponding plasma processing device according to an order of the adjusted reference recipe.
    Type: Grant
    Filed: July 1, 2009
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kiyohito Iijima, Hiroaki Mochizuki
  • Publication number: 20110264256
    Abstract: A process control method is provided for controlling a tool which processes a deposition process on a plurality of wafers for a process time. The process control method comprises receiving a quantity of the wafers and calculating a deposition compensation time necessary for the deposition process performed on the wafers by the tool according to the quantity of the wafers and a deposition loading effect coefficient corresponding to the deposition process. The deposition loading effect coefficient is retrieved from a database according to a process program of the deposition process. According to the deposition compensation time, the process time is adjusted to be an adjusted process time. The deposition process is performed on the wafers for the adjusted process time by the tool.
    Type: Application
    Filed: April 22, 2010
    Publication date: October 27, 2011
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yu-Chin Cheng, Wen-Kuang Tai
  • Patent number: 8036769
    Abstract: A method for compensating positional and/or shape deviations in NC-controlled cutting production machines. The method including the steps of securing a new workpiece, processing the workpiece using nominal data of the NC program, acquiring set deviation, optimizing the NC program using the acquired data and repeating the above steps until at least one of required positional and shape tolerances are achieved.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: October 11, 2011
    Assignee: MTU Aero Engines GmbH
    Inventor: Arndt Glasser