With Dedicated Cache, E.g., Instruction Or Stack, Etc. (epo) Patents (Class 711/E12.02)
  • Publication number: 20110320719
    Abstract: A circuit arrangement and method make state changes to shared state data in a highly multithreaded environment by propagating or streaming the changes to multiple parallel hardware threads of execution in the multithreaded environment using an on-chip communications network and without attempting to access any copy of the shared state data in a shared memory to which the parallel threads of execution are also coupled. Through the use of an on-chip communications network, changes to the shared state data may be communicated quickly and efficiently to multiple threads of execution, enabling those threads to locally update their local copies of the shared state. Furthermore, by avoiding attempts to access a shared memory, the interface to the shared memory is not overloaded with concurrent access attempts, thus preserving memory bandwidth for other activities and reducing memory latency.
    Type: Application
    Filed: June 28, 2010
    Publication date: December 29, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eric O. Mejdrich, Paul E. Schardt, Robert A. Shearer, Matthew R. Tubbs
  • Publication number: 20110314217
    Abstract: This Sampling Object Cache System (“SOCS”) estimates the size of an in-memory heap-based object cache without the need to serialize every object within the cache. SOCS samples objects at a user-determined rate and then computes a “sample size average” for each type of class—whether a top class, type of top class or non top class. Using these sample size averages, a statistically accurate measure of the overall size of the cache is calculated by adding together the total size of the objects in the cache for each class type.
    Type: Application
    Filed: August 26, 2011
    Publication date: December 22, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: AARON KYLE SHOOK, ANDREW IVORY, CHING CHI ANDREW CHOW, ERIK JOHN BURCKART, ROHIT DILIP KELAPURE
  • Publication number: 20110296096
    Abstract: In one embodiment, the present invention includes a processor having multiple cores and an uncore. The uncore may include a microcode read only memory to store microcode to be executed in the cores (that themselves do not include such memory). The cores can include a microcode sequencer to sequence a plurality of micro-instructions (uops) of microcode that corresponds to a macro-instruction to be executed in an execution unit of the corresponding core. Other embodiments are described and claimed.
    Type: Application
    Filed: October 26, 2010
    Publication date: December 1, 2011
    Inventors: Xiang Zou, Per Hammarlund, Ronak Singhal, Hong Wang
  • Publication number: 20110264859
    Abstract: A memory system according to an embodiment of the present invention comprises: a data managing unit 120 is divided into a DRAM-layer managing unit 120a, a logical-NAND-layer managing unit 120b, and a physical-NAND-layer managing unit 120c to independently perform management of a DRAM layer, a logical NAND layer, and a physical NAND layer using the respective managing units to thereby perform efficient block management.
    Type: Application
    Filed: February 10, 2009
    Publication date: October 27, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Junji Yano, Kosuke Hatsuda, Hidenori Matsuzaki
  • Publication number: 20110258424
    Abstract: A distributive cache accessing device for accelerating to boot remote diskless computers mounted in a diskless computer equipped with WAN-bootable hardware, such as an iSCSI host bus adapter (HBA), allows to access data required to boot the diskless computers or run application programs thereon from an iSCSI target or other diskless computers having the distributive cache accessing device via a network. The retrieved iSCSI data blocks are temporarily stored in the local distributive cache accessing device. If any other diskless computer requests for the iSCSI data blocks, the temporarily stored iSCSI data blocks can be accessible to the diskless computer. Given installation of large number of diskless computers, the network traffic of the iSCSI target is alleviated and booting remote diskless computer is accelerated.
    Type: Application
    Filed: April 14, 2010
    Publication date: October 20, 2011
    Inventors: Chia-Hsin Huang, Chao-Jui Hsu, Wun-Yuan Kuo, Wei-Yu Chen, Yu-Yen Chen, Sia-Mor Yeoh
  • Publication number: 20110246995
    Abstract: The disclosed embodiments provide a system that facilitates scheduling threads in a multi-threaded processor with multiple processor cores. During operation, the system executes a first thread in a processor core that is associated with a shared cache. During this execution, the system measures one or more metrics to characterize the first thread. Then, the system uses the characterization of the first thread and a characterization for a second, second thread to predict a performance impact that would occur if the second thread were to simultaneously execute in a second processor core that is also associated with the cache. If the predicted performance impact indicates that executing the second thread on the second processor core will improve performance for the multi-threaded processor, the system executes the second thread on the second processor core.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 6, 2011
    Applicant: ORACLE INTERNATIONAL CORPORATION
    Inventors: Alexandra Fedorova, David Vengerov, Kishore Kumar Pusukuri
  • Publication number: 20110238904
    Abstract: Buffers of programmable depths are used in the instruction and reply paths of cascaded devices to account for possible differences in latencies between the devices. The buffers may be enabled or bypassed such that the alignment of instruction and result may be performed at the boundaries between separate groups of devices having different instruction latencies.
    Type: Application
    Filed: June 3, 2011
    Publication date: September 29, 2011
    Inventor: Tom Teng
  • Publication number: 20110238917
    Abstract: A digital system is provided for high-performance cache systems. The digital system includes a processor core and a cache control unit. The processor core is capable of being coupled to a first memory containing executable instructions and a second memory with a faster speed than the first memory. Further, the processor core is configured to execute one or more instructions of the executable instructions from the second memory.
    Type: Application
    Filed: December 22, 2010
    Publication date: September 29, 2011
    Applicant: SHANGHAI XIN HAO MICRO ELECTRONICS CO. LTD.
    Inventors: Kenneth Chenghao Lin, Haoqi Ren
  • Publication number: 20110231612
    Abstract: One embodiment provides a system that pre-fetches into a sibling cache. During operation, a first thread executes in a first processor core associated with a first cache, while a second thread associated with the first thread simultaneously executes in a second processor core associated with a second cache. During execution, the second thread encounters an instruction that triggers a request to a lower-level cache which is shared by the first cache and the second cache. The system responds to this request by directing a load fill which returns from the lower-level cache in response to the request to the first cache, thereby reducing cache misses for the first thread.
    Type: Application
    Filed: March 16, 2010
    Publication date: September 22, 2011
    Applicant: ORACLE INTERNATIONAL CORPORATION
    Inventors: Martin R. Karlsson, Shailender Chaudhry, Robert E. Cypher
  • Publication number: 20110219188
    Abstract: In a multiprocessor system, a conflict checking mechanism is implemented in the L2 cache memory. Different versions of speculative writes are maintained in different ways of the cache. A record of speculative writes is maintained in the cache directory. Conflict checking occurs as part of directory lookup. Speculative versions that do not conflict are aggregated into an aggregated version in a different way of the cache. Speculative memory access requests do not go to main memory.
    Type: Application
    Filed: January 18, 2011
    Publication date: September 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthias A. Blumrich, Luis H. Ceze, Dong Chen, Alan Gara, Philip Heidelberger, Martin Ohmarcht, Burkhard Steinmacher-Burow, Zhuang Xiaotong
  • Publication number: 20110213932
    Abstract: A decoding apparatus is used which includes: a CABAC processing unit (22) which generates a coding condition (23a) when performing arithmetic decoding; a first storage medium which stores arithmetic-decoded data (24d); a cache 25 which is a second storage medium which stores cache data (25d); a decoder (26) which processes the arithmetic-decoded data (24d), based on the cache data (25d); and a setting unit (23) which sets a storage method for the cache data (25d) based on the coding condition (23a), prior to the processing.
    Type: Application
    Filed: February 22, 2011
    Publication date: September 1, 2011
    Inventors: Takuma CHIBA, Tatsuro Juri
  • Publication number: 20110208915
    Abstract: In an embodiment, a processor may be configured to detect a store exclusive operation followed by a memory barrier operation in a speculative instruction stream being executed by the processor. The processor may fuse the store exclusive operation and the memory barrier operation, creating a fused operation. The fused operation may be transmitted and globally ordered, and the processor may complete both the store exclusive operation and the memory barrier operation in response to the fused operation. As the fused operation progresses through the processor and one or more other components (e.g. caches in the cache hierarchy) to the ordering point in the system, the fused operation may push previous memory operations to effect the memory barrier operation. In some embodiments, the latency for completing the store exclusive operation and the subsequent data memory barrier operation may be reduced if the store exclusive operation is successful at the ordering point.
    Type: Application
    Filed: February 24, 2010
    Publication date: August 25, 2011
    Inventors: Peter J. Bannon, Po-Yung Chang
  • Publication number: 20110173394
    Abstract: A parallel computing system processes at least one store instruction. A first processor core issues a store instruction. A first queue, associated with the first processor core, stores the store instruction. A second queue, associated with a first local cache memory device of the first processor core, stores the store instruction. The first processor core updates first data in the first local cache memory device according to the store instruction. The third queue, associated with at least one shared cache memory device, stores the store instruction. The first processor core invalidates second data, associated with the store instruction, in the at least one shared cache memory. The first processor core invalidates third data, associated with the store instruction, in other local cache memory devices of other processor cores. The first processor core flushing only the first queue.
    Type: Application
    Filed: January 7, 2011
    Publication date: July 14, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alan Gara, Martin Ohmacht
  • Publication number: 20110173392
    Abstract: In a multiprocessor system with at least two levels of cache, a speculative thread may run on a core processor in parallel with other threads. When the thread seeks to do a write to main memory, this access is to be written through the first level cache to the second level cache. After the write though, the corresponding line is deleted from the first level cache and/or prefetch unit, so that any further accesses to the same location in main memory have to be retrieved from the second level cache. The second level cache keeps track of multiple versions of data, where more than one speculative thread is running in parallel, while the first level cache does not have any of the versions during speculation. A switch allows choosing between modes of operation of a speculation blind first level cache.
    Type: Application
    Filed: January 4, 2011
    Publication date: July 14, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alan Gara, Martin Ohmacht
  • Publication number: 20110161593
    Abstract: A cache unit comprising a register file that selects an entry indicated by a cache index of n bits (n is a natural number) that is used to search for an instruction cache tag, using multiplexer groups having n stages respectively corresponding to the n bits of the cache index. Among the multiplexer groups having n stages, a multiplexer group in an mth stage has 2(m-1) multiplex circuits. The multiplexer group in the mth stage uses a value of an mth bit (m is a natural number equal to or less than n) from the least significant bit in the cache index as a control signal. The multiplexer group in the mth stage switches all multiplex circuits included in the multiplexer group in the mth stage in accordance with the control signal.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 30, 2011
    Applicant: Fujitsu Limited
    Inventor: Iwao Yamazaki
  • Publication number: 20110161594
    Abstract: An information processor includes processing units each processes an out-of-order memory access and includes a cache memory, an instruction port that holds instructions for accessing data in the cache memory, a first determinator that validates a first flag when a request for invalidating cache data is received after a target data of a load instruction is transferred from the cache memory and a load instruction having a cache index identical to that of a target address of the received invalidating instruction exists, a second determinator that validates a second flag when the target data of the load instruction in the instruction port is transferred after a cache miss of the target data occurred, and a re-execution determinator that instructs to re-execute an instruction that follows the load instruction if the first and the second flags are valid when a load instruction in the instruction port has been completed.
    Type: Application
    Filed: December 9, 2010
    Publication date: June 30, 2011
    Applicant: Fujitsu Limited
    Inventor: Naohiro KIYOTA
  • Publication number: 20110161592
    Abstract: In some embodiments system reconfiguration code and data to be used to perform a dynamic hardware reconfiguration of a system including a plurality of processor cores is cached and any direct or indirect memory accesses during the dynamic hardware reconfiguration are prevented. One of the processor cores executes the cached system reconfiguration code and data in order to dynamically reconfigure the hardware. Other embodiments are described and claimed.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Inventors: Murugasamy K. Nachimuthu, Mohan J. Kumar, Chung-Chi Wang
  • Publication number: 20110161590
    Abstract: A processing unit includes a store-in lower level cache having reservation logic that determines presence or absence of a reservation and a processor core including a store-through upper level cache, an instruction execution unit, a load unit that, responsive to a hit in the upper level cache on a load-reserve operation generated through execution of a load-reserve instruction by the instruction execution unit, temporarily buffers a load target address of the load-reserve operation, and a flag indicating that the load-reserve operation bound to a value in the upper level cache. If a storage-modifying operation is received that conflicts with the load target address of the load-reserve operation, the processor core sets the flag to a particular state, and, responsive to execution of a store-conditional instruction, transmits an associated store-conditional operation to the lower level cache with a fail indication if the flag is set to the particular state.
    Type: Application
    Filed: December 31, 2009
    Publication date: June 30, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Guy L. Guthríe, William J. Starke, Derek E. Williams
  • Publication number: 20110161575
    Abstract: Methods and apparatus relating to microcode refactoring and/or caching are described. In some embodiments, an off-chip structure that stores microcode is shared by multiple processor cores. Other embodiments are also described and claimed.
    Type: Application
    Filed: December 26, 2010
    Publication date: June 30, 2011
    Inventor: Jamison D. Collins
  • Publication number: 20110153947
    Abstract: In an information processing device for processing VLIW includes memory banks, a memory banks are used to store an instruction word group constituting a very-long instruction. A program counter outputs an instruction address indicating a head memory bank containing a head part of the very long instruction of the next cycle. A memory bank control device uses information regarding the instruction address for the very long instruction and the number of memory banks associated with the very long instruction to specify the use memory bank to be used in the next cycle and the nonuse memory bank not to be used in the next cycle. The memory bank control device controls the operation of the nonuse memory bank. The instruction decoder decodes the very long instruction fetched from the use memory bank. An arithmetic device executes the decoded very long instruction.
    Type: Application
    Filed: September 19, 2009
    Publication date: June 23, 2011
    Inventor: Shohei Nomoto
  • Publication number: 20110145802
    Abstract: Using cache resident transaction hardware to accelerate a software transactional memory system. The method includes identifying a plurality of atomic operations intended to be performed by a software transactional memory system as transactional operations as part of a software transaction. The method further includes selecting at least a portion of the plurality of atomic operations. The method further includes attempting to perform the portion of the plurality of atomic operations as hardware transactions using cache resident transaction hardware.
    Type: Application
    Filed: December 15, 2009
    Publication date: June 16, 2011
    Applicant: MICROSOFT CORPORATION
    Inventors: Yosseff Levanoni, Gad Sheaffer, Ali-Reza Adl-Tabatabai
  • Publication number: 20110145502
    Abstract: A technique for prefetching data into a cache memory system includes prefetching data based on meta information indicative of data access patterns. A method includes tagging data of a program with meta information indicative of data access patterns. The method includes prefetching the data from main memory at least partially based on the meta information, by a processor executing the program. In at least one embodiment, the method includes generating an executable at least partially based on the meta information. The executable includes at least one instruction to prefetch the data. In at least one embodiment, the method includes inserting one or more instructions for prefetching the data into an intermediate form of program code while translating program source code into the intermediate form of program code.
    Type: Application
    Filed: December 14, 2009
    Publication date: June 16, 2011
    Inventors: Shrinivas B. Joshi, Thomas M. Deneau
  • Publication number: 20110125969
    Abstract: A cache memory control device includes cache memories shared by arithmetic processing units, buses shared by the arithmetic processing units to transfer data, an instruction execution unit that accesses the cache memories to execute an access instruction from the arithmetic processing unit, and transfers data from the cache memory to the bus, an instruction feeding unit that feeds the access instruction to the instruction execution unit while inhibiting feeding of a subsequent access instruction for the cache memory accessed in the preceding access instruction in an execution period of the preceding access instruction and inhibiting feeding of a subsequent access instruction using the same bus as the preceding access instruction in a predetermined period, and a timing control unit that, depending on the type of the subsequent access instruction, controls the instruction executing unit to delay the transfer of the data from the cache memory to the bus.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 26, 2011
    Applicant: FUJITSU LIMITED
    Inventor: Naoya Ishimura
  • Publication number: 20110093687
    Abstract: An illustrative embodiment provides a computer-implemented process for managing multiple speculative assist threads for data pre-fetching that sends a command from an assist thread of a first processor to second processor and a memory, wherein parameters of the command specify a processor identifier of the second processor, responsive to receiving the command, reply by the second processor indicating an ability to receive a cache line that is a target of a pre-fetch, responsive to receiving the command replying by the memory indicating a capability to provide the cache line, responsive to receiving replies from the second processor and the memory, sending, by the first processor, a combined response to the second processor and the memory, wherein the combined response indicates an action, and responsive to the action indicating a transaction can continue sending the requested cache line, by the memory, to the second processor into a target cache level on the second processor.
    Type: Application
    Filed: October 13, 2010
    Publication date: April 21, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: TONG CHEN, YAOQING GAO
  • Patent number: 7925834
    Abstract: A method and apparatus for tracking temporal use associated with cache evictions to reduce allocations in a victim cache is disclosed. Access data for a number of sets of instructions in an instruction cache is tracked at least until the data for one or more of the sets reach a predetermined threshold condition. Determinations whether to allocate entry storage in the victim cache may be made responsive in part to the access data for sets reaching the predetermined threshold condition. A micro-operation can be inserted into the execution pipeline in part to synchronize the access data for all the sets. Upon retirement of the micro-operation from the execution pipeline, access data for the sets can be synchronized and/or any previously allocated entry storage in the victim cache can be invalidated.
    Type: Grant
    Filed: December 29, 2007
    Date of Patent: April 12, 2011
    Assignee: Intel Corporation
    Inventors: Peter J. Smith, Mongkol Ekpanyapong, Harikrishna Baliga, Ilhyun Kim
  • Publication number: 20110078413
    Abstract: An arithmetic processing apparatus includes an arithmetic circuit; a first memory configured to store data to be processed in the arithmetic circuit; a second memory configured to be accessed through a first path by the arithmetic circuit; a preloader configured to preload the data from the second memory into the first memory through a second path; a memory controller configured to arbitrate between a first access by the arithmetic circuit using the first path and a second access by the preloader using the second path; and a scheduler configured to control the memory controller.
    Type: Application
    Filed: September 28, 2010
    Publication date: March 31, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Hiromasa YAMAUCHI, Koichiro Yamashita
  • Patent number: 7917698
    Abstract: Embodiments of the present invention provide a system that handles load-marked and store-marked cache lines. Upon asserting a load-mark or a store-mark for a cache line during a given phase of operation, the system adds an entry to a private buffer and in doing so uses an address of the cache line as a key for the entry in the private buffer. The system also updates the entry in the private buffer with information about the load-mark or store-mark and uses pointers for the entry and for the last entry added to the private buffer to add the entry to a sequence of private buffer entries placed during the phase of operation. The system then uses the entries in the private buffer to remove the load-marks and store-marks from cache lines when the phase of operation is completed.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: March 29, 2011
    Assignee: Oracle America, Inc.
    Inventors: Robert E. Cypher, Shailender Chaudhry
  • Publication number: 20110072320
    Abstract: According to the embodiments, a cache system includes a cache-data storing unit and a failure detecting unit. The failure detecting unit detects failure in units of cache line by determining whether instruction data prefetched from a lower layer memory matches cache data read out from the cache-data storing unit. A cache line in which failure is detected is invalidated.
    Type: Application
    Filed: September 14, 2010
    Publication date: March 24, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi MIURA
  • Publication number: 20110072198
    Abstract: Described embodiments provide a media controller for a storage device having sectors, the sectors organized into blocks and superblocks. The media controller stores, on the storage device, logical-to-physical address translation data in N summary pages, where N corresponds to the number of superblocks of the storage device. A buffer layer module of the media controller initializes a summary page cache in a buffer. The summary page cache has space for M summary page entries, where M is less than or equal to N. For operations that access a summary page, the media controller searches the summary page cache for the summary page. If the summary page is stored in the summary page cache, the buffer layer module retrieves the summary page from the summary page cache. Otherwise, the buffer layer module retrieves the summary page from the storage device and stores the retrieved summary page to the summary page cache.
    Type: Application
    Filed: April 29, 2010
    Publication date: March 24, 2011
    Inventors: Randy Reiter, Timothy Swatosh, Pamela Hempstead, Michael Hicken
  • Publication number: 20110055529
    Abstract: A microprocessor includes a branch target address cache (BTAC), each entry thereof configured to store branch prediction information for at most N branch instructions. An execution unit executes a branch instruction previously fetched in a fetch quantum. Update logic determines whether the BTAC is already storing information for N branch instructions within the fetch quantum (N is at least two), updates the BTAC for the branch instruction if the BTAC is not already storing information for N branch instructions, determines whether a type of the branch instruction has a higher replacement priority than a type of the N branch instructions if the BTAC is already storing information for N branch instructions, and updates the BTAC for the branch instruction if the type of the branch instruction has a higher replacement priority than the type of the N branch instructions already stored in the BTAC.
    Type: Application
    Filed: October 8, 2009
    Publication date: March 3, 2011
    Applicant: VIA Technologies, Inc.
    Inventor: Thomas C. McDonald
  • Publication number: 20110047333
    Abstract: Techniques are generally described related to a multi-core processor with a plurality of processor cores and a cache memory shared by at least some of the processor cores. The multi-core processor can be configured for separately allocating a respective level of cache memory associativity to each of the processing cores.
    Type: Application
    Filed: August 21, 2009
    Publication date: February 24, 2011
    Inventors: Andrew Wolfe, Thomas Martin Conte
  • Publication number: 20110040939
    Abstract: The present invention relates to the field of (micro)computer design and architecture, and in particular to microarchitecture associated with moving data values between a (micro)processor and memory components. Particularly, the present invention relates to a computer system with an processor architecture in which register addresses are generated with more than one execution channel controlled by one central processing unit with at least one load/store unit for loading and storing data objects, and at least one cache memory associated to the processor holding data objects accessed by the processor, wherein said processor's load/store unit contains a high speed memory directly interfacing said load/store unit to the cache. The present invention improves the of architectures with dual ported microprocessor implementations comprising two execution pipelines capable of two load/store data transactions per cycle.
    Type: Application
    Filed: June 28, 2010
    Publication date: February 17, 2011
    Applicant: Broadcom Corporation
    Inventors: Sophie WILSON, John E. Redford
  • Publication number: 20100332757
    Abstract: A system and method for write hazard handling are described, including a method comprising pre-computing a memory management unit policy for a write request using an address that is at least one clock cycle before data; registering the pre-computed memory management unit policy; using the pre-computed memory management unit policy to control a pipeline stall to ensure that non-bufferable writes are pipeline-protected, ensuring that no non-bufferable locations are bypassed from within the pipeline and all subsequent non-bufferable reads will get data from a final destination; bypassing a read request only after a corresponding write request is updated an write pending buffer; decoding the write request with the write request aligned to data; registering the write request in the write pending buffer; allowing arbitration logic to force the pipeline stall for a region that will have a write conflict; and stalling read requests to protect against write hazards.
    Type: Application
    Filed: June 30, 2009
    Publication date: December 30, 2010
    Applicant: Texas Instruments Incorporated
    Inventors: Robert Nychka, Prashanth Karnamadakala, Nilesh Acharya
  • Publication number: 20100332764
    Abstract: A chip multiprocessor die supports optional stacking of additional dies. The chip multiprocessor includes a plurality of processor cores, a memory controller, and stacked cache interface circuitry. The stacked cache interface circuitry is configured to attempt to retrieve data from a stacked cache die if the stacked cache die is present but not if the stacked cache die is absent. In one implementation, the chip multiprocessor die includes a first set of connection pads for electrically connecting to a die package and a second set of connection pads for communicatively connecting to the stacked cache die if the stacked cache die is present. Other embodiments, aspects and features are also disclosed.
    Type: Application
    Filed: September 9, 2010
    Publication date: December 30, 2010
    Inventor: Norman Paul JOUPPI
  • Publication number: 20100332758
    Abstract: A cache memory device includes: a data memory storing data written by an arithmetic processing unit; a connecting unit connecting an input path from the arithmetic processing unit to the data memory and an output path from the data memory to a main storage unit; a selecting unit provided on the output path to select data from the data memory or data from the arithmetic processing unit via the connecting unit, and to transfer the selected data to the output path; and a control unit controlling the selecting unit such that the data from the data memory is transferred to the output path when the data is written from the data memory to the main storage unit, and such that the data is transferred to the output path via the connecting unit when the data is written from the arithmetic processing unit to the main storage unit.
    Type: Application
    Filed: June 29, 2010
    Publication date: December 30, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Akihiro Waku, Naoya Ishimura, Hiroyuki Kojima
  • Publication number: 20100333098
    Abstract: Various techniques for dynamically allocating instruction tags and using those tags are disclosed. These techniques may apply to processors supporting out-of-order execution and to architectures that supports multiple threads. A group of instructions may be assigned a tag value from a pool of available tag values. A tag value may be usable to determine the program order of a group of instructions relative to other instructions in a thread. After the group of instructions has been (or is about to be) committed, the tag value may be freed so that it can be re-used on a second group of instructions. Tag values are dynamically allocated between threads; accordingly, a particular tag value or range of tag values is not dedicated to a particular thread.
    Type: Application
    Filed: June 30, 2009
    Publication date: December 30, 2010
    Inventors: Paul J. Jordan, Robert T. Golla, Jama I. Barreh
  • Publication number: 20100332760
    Abstract: A platform and method for secure handling of events in an isolated environment. A processor executing in isolated execution “IsoX” mode may leak data when an event occurs as a result of the event being handled in a traditional manner based on the exception vector. By defining a class of events to be handled in IsoX mode, and switching between a normal memory map and an IsoX memory map dynamically in response to receipt of an event of the class, data security may be maintained in the face of such events.
    Type: Application
    Filed: August 26, 2010
    Publication date: December 30, 2010
    Inventors: Francis X. McKeen, Lawrence O. Smith, Benjamin Crawford Chaffin, Michael P. Cornaby, Bryant Bigbee
  • Publication number: 20100318742
    Abstract: In a particular embodiment, a circuit device includes a translation look-aside buffer (TLB) configured to receive a virtual address and to translate the virtual address to a physical address of a cache having at least two partitions. The circuit device also includes a control logic circuit adapted to identify a partition replacement policy associated with the identified one of the at least two partitions based on a partition indicator. The control logic circuit controls replacement of data within the cache according to the identified partition replacement policy in response to a cache miss event.
    Type: Application
    Filed: June 11, 2009
    Publication date: December 16, 2010
    Applicant: QUALCOMM INCORPORATED
    Inventors: Erich James Plondke, Lucian Codrescu, Ajay Ingle
  • Publication number: 20100318569
    Abstract: A cache system is updated upon determining that a current privilege has not been checked for the session. Updating the cache system includes receiving all data items that are accessible for the current privilege. The cache system stores each received data item in association with a privilege set. The privilege set is enabled to include at least one privilege that is granted for the corresponding data item. The current privilege is stored in the privilege set of each data item when the cache system is updated based on the current privilege.
    Type: Application
    Filed: June 11, 2009
    Publication date: December 16, 2010
    Applicant: ORACLE INTERNATIONAL CORPORATION
    Inventor: Terence Joseph MUNDAY
  • Publication number: 20100306474
    Abstract: A method of providing history based done logic for instructions includes receiving an instruction in a cache line in a L2 cache; and loading the cache line into an L1 cache with a history count that indicates the number of read references of the previous access.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: David A. Luick
  • Publication number: 20100293332
    Abstract: In response to a request including a state object, which can indicate a state of an enumeration of a cache, the enumeration can be continued by using the state object to identify and send cache data. Also, an enumeration of cache units can be performed by traversing a data structure that includes object nodes, which correspond to cache units, and internal nodes. An enumeration state stack can indicate a current state of the enumeration, and can include state nodes that correspond to internal nodes in the data structure. Additionally, a cache index data structure can include a higher level table and a lower level table. The higher level table can have a leaf node pointing to the lower level table, and the lower level table can have a leaf node pointing to one of the cache units. Moreover, the lower level table can be associated with a tag.
    Type: Application
    Filed: May 21, 2009
    Publication date: November 18, 2010
    Applicant: Microsoft Corporation
    Inventors: Muralidhar Krishnaprasad, Sudhir Mohan Jorwekar, Sharique Muhammed, Subramanian Muralidhar, Anil K. Nori
  • Publication number: 20100274972
    Abstract: Systems, methods, and apparatuses for parallel computing are described. In some embodiments, a processor is described that includes a front end and back end. The front includes an instruction cache to store instructions of a strand. The back end includes a scheduler, register file, and execution resources to execution the strand's instructions.
    Type: Application
    Filed: December 23, 2009
    Publication date: October 28, 2010
    Inventors: Boris Babayan, Vladimir L. Gnatyuk, Sergey Yu. Shishlov, Sergey P. Scherbinin, Alexander V. Butuzov, Vladimir M. Pentkovski, Denis M. Khartikov, Sergey A. Rozhkov, Roman A. Khvatov
  • Publication number: 20100257318
    Abstract: Executable computer code sections can be effectively evicted from secondary memory (e.g., instruction cache) during execution time in order to reduce the observable changes to the state of the secondary memory, thereby enhancing the security of computing systems that use secondary memory in addition the primary (main) memory to support execution of computer code. In particular, codes sections considered to be critical to security can be identified and effectively mapped to the same section of an instruction cache (I-cache) as provided in more modern computing systems in order to improve the efficiency of execution, thereby allowing use of the I-cache in a more secure manner.
    Type: Application
    Filed: April 3, 2009
    Publication date: October 7, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Onur Aciicmez, Xinwen Zhang, Jean-Pierre Seifert
  • Publication number: 20100250855
    Abstract: A computer-readable recording medium storing a data storage program, a method and a computer are provided. The computer includes a cache table including an address area for storing an address and a user data area for storing user data corresponding to the address, and executes an operation including, reading user data at a specified address from a recording medium, delta-decoding the read difference data, and determining the decompressed user data to be the read user data, and writing the read user data in the user data area of the cache table when a size of the user data read by the delta-decoding is equal to or less than a threshold value and writing an address corresponding to the read user data in the address area of the cache table, obtaining difference data between the user data requested to be written and the corresponding user data and writing the difference data.
    Type: Application
    Filed: November 25, 2009
    Publication date: September 30, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Takashi Watanabe, Yasuo Noguchi, Kazutaka Ogihara, Masahisa Tamura, Yoshihiro Tsuchiya, Tetsutaro Maruyama, Tatsuo Kumano
  • Publication number: 20100242025
    Abstract: A processing apparatus, which contains a processor that executes a program includes a series of instructions, includes a log recording unit configured to record an operation log of the processing apparatus; a managing unit configured to control a recording operation performed by the log recording unit and read the operation log recorded in the log recording unit; an input unit configured to detect, from among the series of instructions of the executed program; a start instruction that starts a process for delivering a control instruction destined for the managing unit to the managing unit and deliver the control instruction to the managing unit in response to the start instruction; and an output unit configured to receive the operation log read by the managing unit.
    Type: Application
    Filed: March 17, 2010
    Publication date: September 23, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Iwao Yamazaki, Michiharu Hara, Eiji Yamanaka
  • Publication number: 20100235579
    Abstract: A data processing apparatus, and method of managing at least one cache within such an apparatus, are provided. The data processing apparatus has at least one processing unit for executing a sequence of instructions, with each such processing unit having a cache associated therewith, each cache having a plurality of cache lines for storing data values for access by the associated processing unit when executing the sequence of instructions. Identification logic is provided which, for each cache, monitors data traffic within the data processing apparatus and based thereon generates a preferred for eviction identification identifying one or more of the data values as preferred for eviction. Cache maintenance logic is then arranged, for each cache, to implement a cache maintenance operation during which selection of one or more data values for eviction from that cache is performed having regard to any preferred for eviction identification generated by the identification logic for data values stored in that cache.
    Type: Application
    Filed: September 18, 2006
    Publication date: September 16, 2010
    Inventors: Stuart David Biles, Richard Roy Grisenthwaite, David Hennah Mansell
  • Publication number: 20100235569
    Abstract: A method and apparatus optimizes storage on solid-state memory devices. The system aggregates object storage write requests. The system determines whether objects associated with the object storage requests that have been aggregated fit in a block of the solid-state memory device within a defined tolerance.
    Type: Application
    Filed: March 18, 2010
    Publication date: September 16, 2010
    Inventors: Michael Nishimoto, Jaspal Kohli, Kumar Narayanan
  • Publication number: 20100205376
    Abstract: A method for the improvement of the security of microprocessors (1) with a cache memory (3, 4), whereas with a cache-instruction data can be written into the cache memory (3, 4), is improved to enhance the security of a system by inhibiting the direct writing of the cache-instruction into the cache memory (3, 4).
    Type: Application
    Filed: May 9, 2008
    Publication date: August 12, 2010
    Applicant: NXP B.V.
    Inventors: Ralf Malzahn, Li Tao
  • Publication number: 20100174842
    Abstract: This disclosure describes a processor system that allows non-real time code to execute normally, while permitting a real time interrupt in hardware or software to execute with minimal added latency.
    Type: Application
    Filed: September 9, 2009
    Publication date: July 8, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Paul Kimelman
  • Publication number: 20100169577
    Abstract: In order to control an access request to the cache shared between a plurality of threads, a storage unit for storing a flag provided in association with each of the threads is included. If the threads enter the execution of an atomic instruction, a defined value is written to the flags stored in the storage unit. Furthermore, if the atomic instruction is completed, a defined value different from the above defined value is written, thereby displaying whether or not the threads are executing the atomic instruction. If an access request is issued from a certain thread, it is judged whether or not a thread different from the certain thread is executing the atomic instruction by referencing the flag values in the storage unit. If it is judged that another thread is executing the atomic instruction, the access request is kept standby. This makes it possible to realize the exclusive control processing necessary for processing the atomic instruction according to simple configuration.
    Type: Application
    Filed: December 17, 2009
    Publication date: July 1, 2010
    Applicant: Fujitsu Limited
    Inventor: Naohiro Kiyota