Operation Patents (Class 712/42)
  • Publication number: 20140281382
    Abstract: A system and method to enhance execution of architected instructions in a processor uses auxiliary code to optimize execution of base microcode. An execution context of the architected instructions may be profiled to detect potential optimizations, resulting in generation and storage of auxiliary microcode. When the architected instructions are decoded to base microcode for execution, the base microcode may be enhanced or modified using retrieved auxiliary code.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: Intel Corporation
    Inventors: James E. Smith, Denis M. Khartikov, Shiliang Hu, Youfeng Wu
  • Publication number: 20140281383
    Abstract: A system of interconnected chips comprising a multi-chip module (MCM) includes a processor chip, a system functions chip, and an MCM package configured to include the processor chip, the system functions chip, and an interconnect circuit. The processor chip is configured to include a first ground-referenced single-ended signaling interface circuit. A first set of electrical traces manufactured within the MCM package and configured to couple the first single-ended signaling interface circuit to the interconnect circuit. The system functions chip is configured to include a second single-ended signaling interface circuit and a host interface. A second set of electrical traces manufactured within the MCM package and configured to couple the host interface to at least one external pin of the MCM package. In one embodiment, each single-, ended signaling interface advantageously implements ground-referenced single-ended signaling.
    Type: Application
    Filed: August 22, 2013
    Publication date: September 18, 2014
    Applicant: NVIDIA Corporation
    Inventors: William J. Dally, Jonah M. Alben, John W. Poulton, Thomas Hastings Greer, III
  • Publication number: 20140281384
    Abstract: A method for gating a load operation based on entries of a prediction table is presented. The method comprises performing a look-up for the load operation in a prediction table to find a matching entry, wherein the matching entry corresponds to a prediction regarding a behavior of the load operation, and wherein the matching entry comprises: (a) a tag field operable to identify the matching entry; (b) a distance field operable to indicate a distance of the load operation to a prior aliasing store instruction; and (c) a confidence field operable to indicate a prediction strength generated by the prediction table. The method further comprises determining if the matching entry provides a valid prediction and, if valid, retrieving a location for the prior aliasing store instruction using the distance field. It finally comprises performing a gating operation on said load operation.
    Type: Application
    Filed: October 25, 2013
    Publication date: September 18, 2014
    Applicant: Soft Machines, Inc.
    Inventor: Hui ZENG
  • Patent number: 8792749
    Abstract: A scaling device for receiving and scaling a digital image signal includes a scaling module and a data quantity control logic. The scaling module scales the digital image signal and then outputs a scaled output signal according to a scaling ratio. The data quantity control logic controls output quantity of the scaled output signal according to a scaling ratio. Thus, when the data quantity outputted from the scaling module is controlled within the data quantity that may be processed by the post stage of the scaling module per unit time, the data quantities that may be processed per unit time in the post stage processing devices of the scaling module approximate a constant value such that the post stage processing speed of the scaling module may be increased.
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: July 29, 2014
    Assignee: Realtek Semiconductor Corp.
    Inventors: Chia-Pei Chang, Hsin-Ying Ou, Hui-Huang Chang
  • Publication number: 20140189304
    Abstract: This document discusses, among other things, systems and methods to receive an instruction to selectively update a value of one or more selected bits of a first register, to receive the one or more selected bits of the first register to be updated and one or more selected bits of the first register to remain unchanged, and to selectively update the value of the one or more selected bits of the first register using a first write port without receiving the value of the one or more selected bits of the first register. In an example, the value of the one or more selected bits of the first register can be updated without receiving the value of the first register, in certain applications, reducing the number of read ports required to update the value of the first register.
    Type: Application
    Filed: December 31, 2012
    Publication date: July 3, 2014
    Applicant: Tensilica Inc.
    Inventor: Fei Sun
  • Publication number: 20140156971
    Abstract: According to some embodiments, the workgroup divisibility requirement may be dispensed with on a selective or permanent basis, i.e. in all cases, particular cases or at particular times and/or under particular conditions. An application programming interface implementation may be allowed to launch workgroups with non-uniform local sizes. Two different local sizes may be used in a case of a one-dimensional workload.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 5, 2014
    Inventors: Aaron R. Kunze, Dillon Sharlet, Andrew E. Brownsword
  • Publication number: 20140101411
    Abstract: In one embodiment, a policy manager may receive operating system scheduling information, performance prediction information for at least one future quantum, and current processor utilization information, and determine a performance prediction for a future quantum and whether to cause a switch between asymmetric cores of a multicore processor based at least in part on this received information. Other embodiments are described and claimed.
    Type: Application
    Filed: October 4, 2012
    Publication date: April 10, 2014
    Inventor: Premanand Sakarda
  • Patent number: 8694689
    Abstract: In a storage system which includes a plurality of microprocessors, it is desired to prevent delay in I/O responses due to synchronous processing waiting for asynchronous processing, while still ensuring the throughput of asynchronous processing. In a plurality of microprocessors possessed by a controller, synchronous processors and asynchronous processors are mixed together. The synchronous processors are microprocessors whose duty is to perform synchronous processing and not to perform asynchronous processing. And the asynchronous processors are microprocessors whose duty is to perform asynchronous processing and not to perform synchronous processing.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: April 8, 2014
    Assignee: Hitachi, Ltd.
    Inventors: Tomohiro Yoshihara, Sadahiro Sugimoto, Norio Shimozono, Noboru Morishita, Masayuki Yamamoto
  • Publication number: 20140059325
    Abstract: The present invention provides a three-dimensional integrated circuit wherein generation of hot spot which makes a high temperature part as a result of intensively generated heat can be suppressed in. The integrated circuit apparatus comprises: a first circuit made of a memory circuit, a second circuit made of an arithmetic circuit, and a control circuit. The first circuit is partitioned into a plurality of circuit blocks according to the distance from the arranged position of the second circuit, and the control circuit controls the partitioned respective circuit blocks separately.
    Type: Application
    Filed: October 22, 2012
    Publication date: February 27, 2014
    Applicant: PANASONIC CORPORATION
    Inventors: Takashi Morimoto, Kouji Kai
  • Publication number: 20140019717
    Abstract: A synchronization method is executed by a multi-core processor system. The synchronization method includes registering based on a synchronous command issued from a first CPU, CPUs to be synchronized and a count of the CPUs into a specific table; counting by each of the CPUs and based on a synchronous signal from the first CPU, an arrival count for a synchronous point, and creating by each of the CPUs, a second shared memory area that is a duplication of a first shared memory area accessed by processes executed by the CPUs; and comparing the first shared memory area and the second shared memory area when the arrival count becomes equal to the count of the CPUs, and based on a result of the comparison, judging the processes executed by the CPUs.
    Type: Application
    Filed: September 13, 2013
    Publication date: January 16, 2014
    Applicant: FUJITSU LIMITED
    Inventors: Koichiro Yamashita, Hiromasa Yamauchi, Takahisa Suzuki, Koji Kurihara
  • Publication number: 20130151815
    Abstract: A reconfigurable processor includes a plurality of mini-cores and an external network to which the mini-cores are connected. Each of the mini-cores includes a first function unit including a first group of operation elements, a second function unit including a second group of operation elements that is different from the first group of operation elements, and an internal network to which the first function unit and the second function unit are connected.
    Type: Application
    Filed: December 11, 2012
    Publication date: June 13, 2013
    Inventors: Dong-Kwan Suh, Suk-Jin Kim, Hyeong-Seok Yu, Ki-Seok Kwon, Jae-Un Park
  • Publication number: 20130145070
    Abstract: Disclosed is a method of monitoring operation of programmable logic for a streaming processor, the method comprising: generating a graph representing the programmable logic to be implemented in hardware, the graph comprising nodes and edges connecting nodes in the graph; inserting, on each edge, monitoring hardware to monitor flow of data along the edge. Also disclosed is a method of monitoring operation of programmable logic for a streaming processor, the method comprising: generating a graph representing the programmable logic to be implemented in hardware, the graph comprising nodes and edges connecting the nodes in the graph; inserting, on at least one edge, data-generating hardware arranged to receive data from an upstream node and generate data at known values having the same flow control pattern as the received data for onward transmission to a connected node.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 6, 2013
    Applicant: MAXELER TECHNOLGIES, LTD.
    Inventor: Maxeler Technolgies, Ltd.
  • Publication number: 20130132708
    Abstract: A multi-core processor system includes a first core that is of a multi-core processor and configured to detect preprocessing for access of shared resources by a second core that is of the multi-core processor excluding the first core, when the first core is accessing the shared resources shared by the multi-core processor; and switch a task being executed by the second core to another task upon detecting the preprocessing.
    Type: Application
    Filed: January 23, 2013
    Publication date: May 23, 2013
    Applicant: FUJITSU LIMITED
    Inventor: FUJITSU LIMITED
  • Publication number: 20130103929
    Abstract: A High Performance Computing (HPC) node comprises a motherboard, a switch comprising eight or more ports integrated on the motherboard, and at least two processors operable to execute an HPC job, with each processor communicably coupled to the integrated switch and integrated on the motherboard.
    Type: Application
    Filed: December 12, 2012
    Publication date: April 25, 2013
    Applicant: RAYTHEON COMPANY
    Inventor: RAYTHEON COMPANY
  • Publication number: 20130086358
    Abstract: Collective operation protocol selection in a parallel computer that includes compute nodes may be carried out by calling a collective operation with operating parameters; selecting a protocol for executing the operation and executing the operation with the selected protocol. Selecting a protocol includes: iteratively, until a prospective protocol meets predetermined performance criteria: providing, to a protocol performance function for the prospective protocol, the operating parameters; determining whether the prospective protocol meets predefined performance criteria by evaluating a predefined performance fit equation, calculating a measure of performance of the protocol for the operating parameters; determining that the prospective protocol meets predetermined performance criteria and selecting the protocol for executing the operation only if the calculated measure of performance is greater than a predefined minimum performance threshold.
    Type: Application
    Filed: November 21, 2012
    Publication date: April 4, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: International Business Machines Corporation
  • Publication number: 20130080739
    Abstract: To improve processing efficiency of a SIMD processor that divides two-dimensional data into blocks, each having a width of PE number N, to store the data in a local memory of each of PEs by a lateral direction priority method. When designating a local address of N pieces of data arranged in a row direction from head data whose coordinate values in two-dimensional data are (X,Y) to a PE array 110, the N pieces of data being stored in local memories, a CP 150 broadcasts a local address A1, a local address A2, and a threshold number Z obtained by an address calculation unit. Each of the PEs compares a magnitude relation between the threshold number Z and its own number, and selects one of the local address A1 and the local address A2 according to the comparison result.
    Type: Application
    Filed: July 30, 2012
    Publication date: March 28, 2013
    Inventor: Shorin KYO
  • Publication number: 20130061023
    Abstract: A method for combining data values through associative operations. The method includes, with a processor, arranging any number of data values into a plurality of columns according to natural parallelism of the associative operations and reading each column to a register of an individual processor. The processors are directed to combine the data values in the columns in parallel using a first associative operation. The results of the first associative operation for each column are stored in a register of each processor.
    Type: Application
    Filed: September 1, 2011
    Publication date: March 7, 2013
    Inventors: Ren Wu, Bin Zhang, Meichun Hsu, Qiming Chen
  • Publication number: 20130054939
    Abstract: An integrated circuit (IC) is disclosed. The integrated circuit includes a non-reconfigurable multi-threaded processor core that implements a pipeline having n ordered stages, wherein n is an integer greater than 1. The multi-threaded processor core implements a default instruction set. The integrated circuit also includes reconfigurable hardware that implements n discrete pipeline stages of a reconfigurable execution unit. The n discrete pipeline stages of the reconfigurable execution unit are pipeline stages of the pipeline that is implemented by the multi-threaded processor core.
    Type: Application
    Filed: August 24, 2012
    Publication date: February 28, 2013
    Applicant: COGNITIVE ELECTRONICS, INC.
    Inventor: Andrew C. FELCH
  • Publication number: 20130046912
    Abstract: Disclosed is a method of monitoring operation of programmable logic for a streaming processor, the method comprising: generating a graph representing the programmable logic to be implemented in hardware, the graph comprising nodes and edges connecting nodes in the graph; inserting, on each edge, monitoring hardware to monitor flow of data along the edge. Also disclosed is a method of monitoring operation of programmable logic for a streaming processor, the method comprising: generating a graph representing the programmable logic to be implemented in hardware, the graph comprising nodes and edges connecting the nodes in the graph; inserting, on at least one edge, data-generating hardware arranged to receive data from an upstream node and generate data at known values having the same flow control pattern as the received data for onward transmission to a connected node.
    Type: Application
    Filed: August 18, 2011
    Publication date: February 21, 2013
    Applicant: MAXELER TECHNOLOGIES, LTD.
    Inventors: Oliver Pell, Itay Greenspon, James Barry Spooner, Robert Gwilym Dimond
  • Publication number: 20130024660
    Abstract: A portable handheld device includes an image sensor for capturing an image; and a one-chip microcontroller having integrated therein a CPU for processing a script language and a multi-core processor for processing an image captured by the image sensor. The multi-core processor includes therein multiple processing units connected in parallel by a crossbar switch. Each processing unit includes an arithmetic and logic unit (ALU). Each ALU includes a first register set for accepting data from the first crossbar switch, and a second register set for loading data to the crossbar switch.
    Type: Application
    Filed: September 15, 2012
    Publication date: January 24, 2013
    Inventor: Kia Silverbrook
  • Publication number: 20130013893
    Abstract: A portable handheld device includes a CPU for processing a script; a multi-core processor for processing images; and a flash memory connected to the CPU, the flash memory storing therein a table of micro-codes. The multi-core processor includes a plurality of micro-coded processing units.
    Type: Application
    Filed: September 15, 2012
    Publication date: January 10, 2013
    Inventor: Kia Silverbrook
  • Publication number: 20120221834
    Abstract: A processor including: a first and at least a second data processing channel with enable logic for selectively enabling the second channel; logic for generating first and second storage addresses having a variable offset therebetween based on the same one or more address operands of the same storage access instruction; and circuitry for transferring data between the first address and a register of the first data processing channel and between the second address and a corresponding register of the second channel based on a same one or more register specifier operands of the access instruction. The first data processing channel performs an operation using one or more registers of the first data processing channel, and on condition of being enabled the second channel performs the same operation using a corresponding one or more of its own registers based on the same one or more operands of the data processing instruction.
    Type: Application
    Filed: August 26, 2011
    Publication date: August 30, 2012
    Applicant: ICERA INC
    Inventors: Simon Knowles, Edward Andrews, Stephen Felix, Simon Huckett, Colman Hegarty, Fabienne Hegarty
  • Publication number: 20120185714
    Abstract: An apparatus, method and system is described herein for enabling intelligent recirculation of hot code sections. A hot code section is determined and marked with a begin and end instruction. When the begin instruction is decoded, recirculation logic in a back-end of a processor enters a detection mode and loads decoded loop instructions. When the end instruction is decoded, the recirculation logic enters a recirculation mode. And during the recirculation mode, the loop instructions are dispatched directly from the recirculation logic to execution stages for execution. Since the loop is being directly serviced out of the back-end, the front-end may be powered down into a standby state to save power and increase energy efficiency. Upon finishing the loop, the front-end is powered back on and continues normal operation, which potentially includes propagating next instructions after the loop that were prefetched before the front-end entered the standby mode.
    Type: Application
    Filed: December 15, 2011
    Publication date: July 19, 2012
    Inventors: Jaewoong Chung, Youfeng Wu, Cheng Wang, Hanjun Kim
  • Publication number: 20120144161
    Abstract: An apparatus is provided for performing carryless multiplication. The apparatus has an opcode dectector and a carryless preformat unit. The opcode dectector is configured to receive a carryless multiplication instruction, and is configured to assert a carryless signal responsive to receipt of the carryless multiplication instruction. The carryless preformat unit is configured to partition the first operand into parts responsive to assertion of the carryless signal, where the parts are configured such that a Booth encoder selects first partial products corresponding to a second operand and is precluded from selection of second partial products corresponding to the second operand, and where the second partial products are results of implicit carry operations. The first partial products are exclusive-ORed together to yield a carryless multiplication result.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 7, 2012
    Applicant: VIA Technologies, Inc.
    Inventor: Timothy A. Elliott
  • Publication number: 20120066476
    Abstract: A data writing method and a micro-operation processing system are provided. The micro-operation processing system is adapted to access a plurality of registers and each of the registers defines at least one logic storing area. The data writing method comprises the following steps: executing a first micro-operation; selecting a target area of the first micro-operation, which has been updated by the second micro-operation before, as one of the logic storing areas; assigning each of the first micro-operation and the second micro-operation a respective identification number; determining that a execution order of the first micro-operation is later than a execution order of the second micro-operation according to the identification numbers of the first micro-operation and the second micro-operation; and recording that the target area has been updated by the first micro-operation.
    Type: Application
    Filed: July 7, 2011
    Publication date: March 15, 2012
    Applicant: RDC SEMICONDUCTOR CO., LTD.
    Inventor: Cheng Tang CHENG
  • Publication number: 20120023310
    Abstract: An accelerator chip can be positioned between a processor chip and a memory. The accelerator chip enhances the operation of a Java program by running portions of the Java program for the processor chip. In a preferred embodiment, the accelerator chip includes a hardware translator unit and a dedicated execution engine.
    Type: Application
    Filed: May 25, 2011
    Publication date: January 26, 2012
    Inventors: Mukesh K. Patel, Dan Hillman, Jay Kamdar, Jon Shiell, Udaykumar R. Raval
  • Publication number: 20120011346
    Abstract: Augmented processor hardware contains a microcode interpreter. When encrypted microcode is included in a challenge from a service requiring authentication, the microcode may be passed to the microcode interpreter. Based on decryption and execution of the microcode taking place at the processor hardware, tampering by potentially abusive device software may be avoided.
    Type: Application
    Filed: July 8, 2011
    Publication date: January 12, 2012
    Applicant: RESEARCH IN MOTION LIMITED
    Inventors: Ian Robertson, Roger Paul Bowman, Robert Henderson Wood
  • Publication number: 20120011345
    Abstract: Augmented processor hardware contains a microcode interpreter. When encrypted microcode is included in a message from a service, the microcode may be passed to the microcode interpreter. Based on decryption and execution of the microcode taking place at the processor hardware, extended functionality may be realized.
    Type: Application
    Filed: July 8, 2011
    Publication date: January 12, 2012
    Applicant: RESEARCH IN MOTION LIMITED
    Inventors: Ian Robertson, Roger Paul Bowman, Robert Henderson Wood
  • Publication number: 20110321049
    Abstract: An integrated processor block of the network on a chip is programmable to perform a first function. The integrated processor block includes an inbox to receive incoming packets from other integrated processor blocks of a network on a chip, an outbox to send outgoing packets to the other integrated processor blocks, an on-chip memory, and a memory management unit to enable access to the on-chip memory.
    Type: Application
    Filed: June 25, 2010
    Publication date: December 29, 2011
    Applicant: International Business Machines Corporation
    Inventors: Mark J. Hickey, Eric O. Mejdrich, Adam J. Muff, Paul E. Schardt, Robert A. Shearer, Matthew R. Tubbs, Charles D. Wait
  • Patent number: 8086763
    Abstract: A class changing apparatus includes a link unit configured to be linked with a client device to transmit and receive data. The class change apparatus also includes a storage unit configured to store apparatus information including class information of the client device. The class changing apparatus further includes a control unit coupled to the link unit and the storage unit and controlling operations of the class changing apparatus including a class changing operation, wherein the class change operation includes transmitting at least one command including a command for rebranching into the selected class to the client device through the link unit and registering class information as changed class information in the storage unit in response to detecting a class change request.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: December 27, 2011
    Assignee: LG Electronics Inc.
    Inventors: Moo-Rak Choi, Kwang-Wook Lee, You-Sun Kim, Sung-Jea Ko
  • Publication number: 20110289297
    Abstract: A processor instruction scheduler comprising an optimization engine which uses an optimization model for a processor architecture with: means to generate an optimization model for the optimization engine from a design of a processor and data representing optimization goals and constraints and a code stream, wherein the processor has at least two execution pipes and at least two registers, and wherein the design comprises data for processor instruction latency and execution pipes, and wherein the code stream comprises processor instructions with corresponding register selections; and reordering means to generate an optimized code stream from the code stream with the optimal solution provided by the optimization engine for the optimization model by reordering the code stream, such that optimum values for the optimization goals under the given constraints are achieved without affecting the operation results of the code stream.
    Type: Application
    Filed: May 11, 2011
    Publication date: November 24, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Juergen Koehl, Jens Leenstra, Philipp Panitz, Hans Schlenker
  • Publication number: 20110185155
    Abstract: A microprocessor invokes microcode in response to encountering a repeat load string instruction. The microcode includes a series of guaranteed prefetch (GPREFETCH) instructions to fetch into a cache memory of the microprocessor a series of cache lines implicated by a string of data bytes specified by the instruction. A memory subsystem of the microprocessor guarantees within architectural limits that the cache line specified by each GPREFETCH instruction will be fetched into the cache. The memory subsystem completes each GPREFETCH instruction once it determines that no conditions exist that would prevent fetching the cache line specified by the GPREFETCH instruction and once it allocates a fill queue buffer to receive the cache line. A retire unit frees a reorder buffer entry allocated to each GPREFETCH instruction in response to completion of the GPREFETCH instruction regardless of whether the cache line specified by the GPREFETCH instruction has been fetched into the cache.
    Type: Application
    Filed: November 9, 2010
    Publication date: July 28, 2011
    Applicant: VIA TECHNOLOGIES, INC.
    Inventors: G. Glenn Henry, Rodney E. Hooker
  • Publication number: 20110179253
    Abstract: A computer implemented method for handling events in a multi-core processing environment is provided. The method comprises handling an event by a second application running on a second core, in response to determining that the event is initiated by a first application running on a first core; and running a third application on the first core, while the first application is waiting for the event to be handled by the second application.
    Type: Application
    Filed: January 21, 2010
    Publication date: July 21, 2011
    Applicant: International Business Machines Corporation
    Inventors: Shmuel Ben Yehuda, Abel Gordon, Orit (Luba) Wasserman, Ben-Ami Yassour
  • Publication number: 20110153985
    Abstract: The present invention is directed towards systems and methods for distributed operation of a plurality of cryptographic cards in a multi-core system. In various embodiments, a plurality of cryptographic cards providing encryption/decryption resources are assigned to a plurality of packet processing engines in operation on a multi-core processing system. One or more cryptographic cards can be configured with a plurality of hardware or software queues. The plurality of queues can be assigned to plural packet processing engines so that the plural packet processing engines share cryptographic services of a cryptographic card having multiple queues. In some embodiments, all cryptographic cards are configured with multiple queues which are assigned to the plurality of packet processing engines configured for encryption operation.
    Type: Application
    Filed: December 23, 2009
    Publication date: June 23, 2011
    Inventors: Ashoke Saha, Rajesh Joshi, Tushar Kanekar
  • Publication number: 20110153984
    Abstract: Embodiments of the disclosure generally set forth techniques for supplying different voltage levels and clock signals to a processor core. One example method includes determining a first workload of a first processor core in the multi-core processor for performing a first computing task associated with a first image area and a first geometric mapping between the first computing task and the first processor core, selecting a first voltage level or a first clock signal having a first clock frequency for the first processor core based on the determined first workload, wherein the first voltage level is compatible with the selected first clock frequency, initiating a voltage change to the first processor core based on the selected first voltage level, and initiating a clock change to the first processor core based on the selected first clock signal having the first clock frequency.
    Type: Application
    Filed: December 21, 2009
    Publication date: June 23, 2011
    Inventors: Andrew WOLFE, Tom CONTE
  • Patent number: 7913007
    Abstract: Systems, methods, and computer program products for preemption in asynchronous systems using anti-tokens are disclosed. According to one aspect, configurable system for constructing asynchronous application specific integrated data pipeline circuits with preemption includes a plurality of modular circuit stages that are connectable with each other and with other circuit elements to form multi-stage asynchronous application specific integrated data pipeline circuits for asynchronously sending data and tokens in a forward direction through the pipeline and for asynchronously sending anti-tokens in a backward direction through the pipeline. Each stage is configured to perform a handshaking protocol with other pipeline stages, the protocol including receiving either a token from the previous stage or an anti-token from the next stage, and in response, sending both a token forward to the next stage and an anti-token backward to the previous stage.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: March 22, 2011
    Assignee: The University of North Carolina
    Inventors: Montek Singh, Manoj Kumar Ampalam
  • Patent number: 7904703
    Abstract: A system, apparatus and method for idling and waking threads by a multithread processing device are described herein. Embodiments of the present invention provide a multithread processing device for idling and waking threads including a scheduler configured to determine a bandwidth request mode of a first instruction execution thread and allocate zero execution cycles of an instruction execution period to the first instruction execution thread if the bandwidth request mode is an idle mode. In various embodiments, the multithread processing device may be configured to wake the first instruction thread by allocating one or more execution cycles to the first instruction execution thread if the bandwidth request mode is modified to a wake mode. Other embodiments may be described and claimed.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: March 8, 2011
    Assignee: Marvell International Ltd.
    Inventors: Jack Kang, Yu-Chi Chuang
  • Publication number: 20110035623
    Abstract: A microprocessor includes a first plurality of fuses, a predetermined number of which are selectively blown. Control values are provided from the fuses to circuits of the microprocessor to control operation thereof. A second plurality of fuses are blown with the predetermined number of the first plurality of fuses that are blown and a Boolean complement of the predetermined number. In response to being reset, the microprocessor: reads the predetermined number and the Boolean complement of the predetermined number from the second plurality of fuses, Boolean complements the predetermined number read from the second plurality of fuses to generate a result, compares the result with the Boolean complement of the predetermined number read from the second plurality of fuses, and prevent itself from fetching and executing user program instructions if the result does not equal the Boolean complement of the predetermined number read from the second plurality of fuses.
    Type: Application
    Filed: March 8, 2010
    Publication date: February 10, 2011
    Applicant: VIA TECHNOLOGIES, INC.
    Inventors: Darius D. Gaskins, Stephan Gaskins
  • Patent number: 7805709
    Abstract: A system and a method for bypassing execution of an algorithm are provided. The method includes associating a first algorithm of a first computer with a second algorithm of a second computer, utilizing the first computer, wherein execution of the second algorithm by the second computer is to be bypassed. The method further includes determining when the second computer has a predetermined state. The method further includes stopping execution of the second algorithm on the second computer when the second computer has the predetermined state. The method further includes initiating execution of the first algorithm on the first computer when the second computer has the predetermined state.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: September 28, 2010
    Assignee: Delphi Technologies, Inc.
    Inventors: Bernard M. McFarland, Larry D. Burkholder, William James Allen, Richard J. Skertic
  • Publication number: 20100241831
    Abstract: A method for processing a data packet in a network server system comprising at least one central processor unit (CPU) having a plurality of cores; and a network interface for forming a connection to a network between the network and a designated CPU core, such that for all data packets received from the network an interrupt is created in the designated CPU core for received data packet processing. Each data packet received from the network is associated with an application connection established in a CPU core selected based on processor load and an interrupt thread is created on the CPU core associated with the application connection for processing the data packet. Each data packet being sent to the network is associated with an application connected established either in the CPU core in which the application is executing or an alternative CPU core selected based on processor load.
    Type: Application
    Filed: July 7, 2008
    Publication date: September 23, 2010
    Applicant: HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P.
    Inventors: Nilakantan Mahadevan, Ananth Yelthimar Shenoy, Srikanth Lakshminarayan
  • Publication number: 20100241830
    Abstract: A microcontroller includes a program memory, data memory, central processing unit, at least one register module, a memory management unit, and a transport network. Instructions are executed in one clock cycle via an instruction word. The instruction word indicates the source module from which data is to be retrieved and the destination module to which data is to be stored. The address/data capability of an instruction word may be extended via a prefix module. If an operation is performed on the data, the source module or the destination module may perform the operation during the same clock cycle in which the data is transferred.
    Type: Application
    Filed: May 18, 2009
    Publication date: September 23, 2010
    Inventors: Jeffrey D. Owens, Edward Tang K. Ma, Donald W. Loomis, Tomas Augustus Chenot
  • Patent number: 7788469
    Abstract: A hardware accelerator is used to execute a floating-point byte-code in an information processing device. For a floating-point byte-code, a byte-code accelerator BCA feeds an instruction stream for using a FPU to a CPU. When the FPU is used, first the data is transferred to the FPU register from a general-purpose register, and then an FPU operation is performed. For data, such as a denormalized number, that cannot be processed by the FPU, in order to call a floating-point math library of software, the processing of the BCA is completed and the processing moves to processing by software. In order to realize this, data on a data transfer bus from the CPU to the FPU is snooped by the hardware accelerator, and a cancel request is signaled to the CPU to inhibit execution of the FPU operation when corresponding data is detected in a data checking part.
    Type: Grant
    Filed: July 6, 2004
    Date of Patent: August 31, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Tetsuya Yamada, Naohiko Irie, Takahiro Irita, Masayuki Kabasawa
  • Publication number: 20100211758
    Abstract: A microprocessor that can perform sequential processing in data array unit includes: a load store unit that loads, when a fetched instruction is a load instruction for data, a data sequence including designated data from a data memory in memory width unit and specifies, based on an analysis result of the instruction, data scheduled to be designated in a load instruction in future; and a data temporary storage unit that stores use-scheduled data as the data specified by the load store unit.
    Type: Application
    Filed: December 29, 2009
    Publication date: August 19, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masato Sumiyoshi, Takashi Miyamori, Shunichi Ishiwata, Katsuyuki Kimura, Takahisa Wada, Keiri Nakanishi, Yasuki Tanabe, Ryuji Hada
  • Publication number: 20100191936
    Abstract: Systems and methods are disclosed for power management in information handling systems using processor performance data to validate changes to processor performance states. Processor utilization data and processor performance data is obtained during system operation. The processor utilization data is analyzed to determine a desired performance state for the processor. Before setting the actual performance state of the processor to this desired performance state, however, processor performance data is analyzed to determine if prior changes to the performance state have been effective. The performance state of the processor is then changed are maintained based upon this additional performance verification analysis.
    Type: Application
    Filed: January 23, 2009
    Publication date: July 29, 2010
    Inventors: Mukund Khatri, Humayun Khalid, Robert W. Hormuth
  • Publication number: 20100191935
    Abstract: An architecture includes a controller. The controller is configured to receive a microprogram. The microprogram is configured for performing at least one of hierarchical or a sequence of polynomial computations. The architecture also includes an arithmetic logic unit (ALU) communicably coupled to the controller. The ALU is controlled by the controller. Additionally, the microprogram is compiled prior to execution by the controller, the microprogram is compiled into a plurality of binary tables, and the microprogram is programmed in a command language in which each command includes a first portion for indicating at least one of a command or data transferred to the ALU, and a second portion for including a control command to the controller. The architecture and implementation of the programmable controller may be for cryptographic applications, including those related to public key cryptography.
    Type: Application
    Filed: January 23, 2009
    Publication date: July 29, 2010
    Inventors: Anatoli A. Bolotov, Mikhail I. Grinchuk, Lav Ivanovic, Alexei Galatenko
  • Patent number: 7765342
    Abstract: Embodiments of the present invention may provide for architectural and compiler approaches to optimizing processors by packing instructions into instruction register files. The approaches may include providing at least one instruction register file, identifying a plurality of frequently-used instructions, and storing at least a portion of the identified frequently-used instructions in the instruction register file. The approaches may further include specifying a first identifier for identifying each of instructions stored within the instruction register file, and retrieving at least one packed instruction from an instruction cache, wherein each packed instruction includes at least one first identifier. The packed instructions may be tightly packed or loosely packed in accordance with embodiments of the present invention. Packed instructions may also be executed alongside traditional non-packed instructions.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: July 27, 2010
    Assignee: Florida State University Research Foundation
    Inventors: David Whalley, Gary Tyson
  • Publication number: 20100185927
    Abstract: The invention relates to a microprocessor system (60) for controlling and/or regulating at least partly security-critical processes, which system comprises two central processing units (1, 2) integrated into a chip housing, a first and a second at least one complete memory (7) on the first bus system, and check data in one or more check data memories, said data being related to data of the memory in the first bus system. The check data memory is smaller than the complete memory. The bus systems comprise comparative and/or driver components which facilitate data exchange and/or comparison of data between the two bus systems. The one or more check data memories are arranged on the first bus system. On the second bus system, neither a check data memory nor a memory safeguarding data of the memory on the first bus is used. The invention also relates to the use of the inventive microprocessor system in automotive control devices.
    Type: Application
    Filed: August 2, 2006
    Publication date: July 22, 2010
    Applicant: CONTINENTAL TEVES AG & CO. OHG
    Inventors: Wolfgan Fey, Andreas Kirschbaum, Adrian Traskov
  • Patent number: 7685397
    Abstract: An apparatus and method for managing stacks in a virtual machine are provided. The apparatus includes a first memory which checks the space of a stack chunk and allocates a frame pointer if at least one of a push and a pop are performed in a virtual machine; and a second memory which is connected with an external bus, and stores frame pointers copied from the first memory via the external bus.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: March 23, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-ho Choi, Hyo-jung Song, Jung-pil Choi
  • Publication number: 20100058031
    Abstract: Executing a service program for an accelerator application program in a hybrid computing environment that includes a host computer and an accelerator, the host computer and the accelerator adapted to one another for data communications by a system level message passing module; where the service program includes a host portion and an accelerator portion and executing a service program for an accelerator includes receiving, from the host portion, operating information for the accelerator portion; starting the accelerator portion on the accelerator; providing, to the accelerator portion, operating information for the accelerator application program; establishing direct data communications between the host portion and the accelerator portion; and, responsive to an instruction communicated directly from the host portion, executing the accelerator application program.
    Type: Application
    Filed: September 4, 2008
    Publication date: March 4, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael E. Aho, Ricardo M. Matinata, Amir F. Sanjar, Gordon G. Stewart, Cornell G. Wright, JR.
  • Patent number: 7664931
    Abstract: A scalable and fully configurable computing architecture for a mobile multimedia architecture used in a vehicle includes a head unit having a processor, a field programmable gate array and a memory. The processor and the memory are configured to communicate over a first bus that is a dedicated memory bus, and the processor and the field programmable gate array are configured to communicate over a separate second bus. The field programmable gate array is configured to be loaded from memory with part of a multimedia vehicle-related application-specific functionality that is executable by the field programmable gate array, and the processor is cooperatively operable with the field programmable gate array to execute another portion of the multimedia vehicle-related application-specific functionality. The multimedia vehicle-related application-specific functionality in the field programmable gate array may be changed with software and downloaded to the field programmable gate array in the field.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: February 16, 2010
    Assignee: Harman Becker Automotive Systems GmbH
    Inventors: Thomas Erforth, Matthias Rupprecht