Translation (logic-to-logic, Logic-to-netlist, Netlist Processing) Patents (Class 716/103)
  • Patent number: 8510688
    Abstract: A method for designing a system on a target device includes entering the system. The system is synthesized. The system is mapped. The system is placed on the target device. The system is routed. Physical synthesis is performed on the system immediately after more than one of the entering, synthesizing, mapping, placing and routing procedures.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: August 13, 2013
    Assignee: Altera Corporation
    Inventors: Deshanand Singh, Valavan Manohararajah, Gordon Raymond Chiu, Ivan Blunno, Stephen D. Brown
  • Publication number: 20130205271
    Abstract: A macro timing analysis device comprises a netlist merging unit which merges a layout-implemented top netlist obtained by executing clock path distribution and layout processing with respect to a top netlist with a lower-order hierarchy as a macro and a layout-implemented macro netlist obtained by cutting out a circuit in the macro from the layout-implemented top netlist to generate a merging-implemented macro netlist including description of a clock path outside the macro and description of a macro boundary path which are clock paths related to the macro, and a timing analysis unit which analyzes a timing of the macro boundary path by using the merging-implemented macro netlist.
    Type: Application
    Filed: January 29, 2013
    Publication date: August 8, 2013
    Applicant: NEC CORPORATION
    Inventor: NEC Corporation
  • Patent number: 8504973
    Abstract: Systems and methods for generating and using a test environment and a test system surrounding a design are described. The systems and methods may involve using a same application software for creating a design and for receiving a selection to generate the test environment and the test system. In response to receiving the selection, the systems and methods may execute a verification tool to create the test environment and test system. Moreover, a user may not fill in templates of components of the verification tool. The verification tool is integrated within the application software.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: August 6, 2013
    Assignee: Altera Corporation
    Inventors: Wai Kheong Leong, Kent Orthner
  • Patent number: 8504346
    Abstract: Disclosed are methods, systems, and structures for implementing an improved approach for simulating mixed-signal electronic designs. An improved approach for providing seamless interaction between analog and digital blocks during simulation, even if the digital blocks include complex types or models.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: August 6, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Abhijeet Kolpekwar, Chandrashekar L. Chetput
  • Patent number: 8504953
    Abstract: The invention concerns the generation of schematics from analog netlists. Various implementations of the invention provide that an analog netlist defining a number of hardware components and the connectivity between the hardware components is identified. Subsequently, the netlist is sorted and partitioned into component groups. The component groups are arranged and lines are routed between the component groups. The corresponding hardware components are arranged within the component groups and a schematic corresponding to the arranged hardware components is generated.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: August 6, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Bikram Garg, Rajeev Sehgal, Amarpal Singh
  • Publication number: 20130198702
    Abstract: A method, system and computer program product are provided for implementing an enhanced Z-directional macro port assignment or three-dimensional port creation for random logic macros of heterogeneous hierarchical integrated circuit chips. An initial port placement is provided on a layer for a macro. The initial port placement is expanded to provide a three-dimensional port shape including a plurality of metal layers along a z-axis. Wire routing of each of the macro level and a chip top level is defined within the expanded three-dimensional port shape. Each unnecessary metal layer of the expanded three-dimensional port shape is removed, providing a final three-dimensional port shape.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 1, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: International Business Machines Corporation
  • Publication number: 20130191799
    Abstract: A high-level synthesis device, which converts a behavior description file describing a function of an integrated circuit using a high-level language without timing description, into a hardware description file describing the integrated circuit including timing description, has: a processor; a high-level synthesis unit in which the processor converts a behavior description file having a functional portion describing the function and a control portion controlling timing, into a first hardware description file; a variable extraction unit; a loop information generation unit; a static latency extraction unit; a latency calculation circuit generation unit in which the processor generates a second hardware description file describing a latency calculation circuit which generates the latency information based on loop count and static latency; and an insertion unit in which the processor inserts the second hardware description file into the first hardware description file to generate a third hardware description file.
    Type: Application
    Filed: January 16, 2013
    Publication date: July 25, 2013
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Fujitsu Semiconductor Limited
  • Publication number: 20130191798
    Abstract: A technology specific information to design the integrated circuit is received. A plurality of canonical hierarchical models to capture an integrated circuit capacitance are created. The plurality of canonical hierarchical models includes at least a canonical model to capture a capacitance of a device having a plurality of conductors, and a canonical model to capture a capacitance between at least a portion of the device and one or more other conductors of the integrated circuit. The canonical hierarchical models can be applied to a layout of the integrated circuit. A capacitance for the layout can be determined based on the canonical hierarchical models.
    Type: Application
    Filed: January 24, 2012
    Publication date: July 25, 2013
    Inventors: Arthur Nieuwoudt, Jiyoun Kim, Mathew Koshy, Baribrata Biswas
  • Publication number: 20130185683
    Abstract: An integrated circuit test model is generated according to a circuit connection net-list, an isolation cell topology, and a pin voltage information spec file, so that the procedure of generating the integrated circuit test model can be time-saving, efficient, and fool-proof. Besides, while tracing a current path of a node of the circuit connection net-list, the generated integrated circuit test model can be more precise if certain limitations are added.
    Type: Application
    Filed: January 1, 2013
    Publication date: July 18, 2013
    Applicant: REALTEK SEMICONDUCTOR CORP.
    Inventor: REALTEK SEMICONDUCTOR CORP.
  • Patent number: 8489380
    Abstract: Systems and methods that use a solver to find bugs in a target model of a computing system having one or more finite computation paths are provided. The bugs on computation paths of less than a predetermined length are detected by translating the target model to include a state variable AF for one or more states of the target model, wherein AF(S) represents value of the state variable AF at state S; and solving the translated version of the target model that satisfies predetermined constrains.
    Type: Grant
    Filed: May 16, 2011
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel Geist, Mark Ginzburg, Yoad Lustig, Ishai Rabinovotz, Ohad Shacham, Rachel Tzoref
  • Publication number: 20130175651
    Abstract: Semiconductor structures with damascene metal gates and pixel sensor cell shields, methods of manufacture and design structures are provided. The method includes forming a dielectric layer over a dummy gate structure. The method further includes forming one or more recesses in the dielectric layer. The method further includes removing the dummy gate structure in the dielectric layer to form a trench. The method further includes forming metal in the trench and the one more recesses in the dielectric layer to form a damascene metal gate structure in the trench and one or more metal components in the one or more recesses.
    Type: Application
    Filed: February 28, 2013
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Patent number: 8484589
    Abstract: During a pop phase of hierarchical repartitioning of an IC design, all cells within a current hierarchy may be identified, the list of cells may be ungrouped to dissolve the current hierarchy, one or more specified cells may be removed from the list of cells, where the specified one or more cells are to be moved to a different hierarchy, and the new list of cells without the specified one or more cells may be re-grouped, to re-form the previously dissolved hierarchy. During a push phase of the hierarchical repartitioning, all cells within the next lower-level hierarchy may be identified, the identified list of cells may be ungrouped to dissolve that hierarchy, the specified one or more cells may be added to the identified list of cells, and the new list of cells that includes the specified one or more cells may be grouped to reform the previously dissolved hierarchy.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: July 9, 2013
    Assignee: Apple Inc.
    Inventors: Robert D. Kenney, Hani Hasan Mustafa Saleh, Sreevathsa Ramachandra
  • Patent number: 8484591
    Abstract: A mechanism is provided for simplifying a netlist before computational resources are exceeded. For each of a set of suspected equivalences in a proof graph of a netlist, a determination is made as to whether equivalence holds for at least one of an equivalence or an equivalence class by identifying whether the equivalence or equivalence class is either affecting or non-affecting. Responsive to the equivalence or equivalence class being affecting, a proof dependency is recorded as an edge in a proof graph. For each node in the proof graph, a determination is made as to whether the node has a falsified dependency. Responsive to the node failing to have a falsified dependency, identification is made that all dependencies are satisfied and that the equivalences represented by the node in the proof graph are sequential equivalences. The netlist is then simplified by consuming the sequential equivalences.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: July 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8484603
    Abstract: A method co-optimizes a design and a library in such a way to choose the best set of cells to implement the design. The method takes into account the idea of limiting the number of new cells while reducing target costs and respecting design constraints. The method chooses a minimum nearly optimum set of cells to optimize a design. This involves the simultaneous optimization of a cell-based design and a cell library used to implement it. The invention can produce only an optimized library for a specific application, when the circuit is disregarded. The method takes into account a set of new cells described as finalized cells or as virtual cells, possibly having different transistor topologies, different sizes, different logic functions, and/or different cell template than the original library.
    Type: Grant
    Filed: September 18, 2012
    Date of Patent: July 9, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Anders Bo Rasmussen, Vinicius Pazutti Correia, Ole Christian Andersen
  • Patent number: 8478574
    Abstract: A mechanism is provided in an integrated circuit simulator for tracking array data contents across three-value read and write operations. The mechanism accounts for write operations with data values and address values having X symbols. The mechanism performs writes to a tree data structure that is used to store the three-valued contents to the array. The simulator includes functionality for updating the array contents for a three-valued write and to read data for a three-valued read. The simulator also includes optimizations for dynamically reducing the size of the data structure when possible in order to save memory in the logic simulator.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: July 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Publication number: 20130162293
    Abstract: A method is disclosed for creating a logic integrated circuit cell from an original logic integrated circuit gate. The method includes combining the original logic integrated circuit cell with a second circuit which takes as input a complement of inputs of the original logic integrated circuit cell and provides as output complements of the output of the original logic integrated circuit cell.
    Type: Application
    Filed: December 3, 2012
    Publication date: June 27, 2013
    Applicant: ROBUST CHIP INC.
    Inventor: ROBUST CHIP INC.
  • Patent number: 8473882
    Abstract: A method, system, and computer program product for reducing the size of a logic network design, prior to verification of the logic network design. The method includes eliminating registers to reduce the size of the logic network design; thereby, increasing the speed and functionality of the verification process, and decreasing the size of the logic network design. The system identifies one or more compatible resubstitutions of a selected register, wherein the compatible resubstitution expresses the selected register as one or more pre-existing registers of fixed initial state. The resubstitutions are refined utilizing design invariants. When one more resubstitutions are preformed, the system eliminates the selected registers to reduce the size of the logic network design. As a result of the resubstitution process, a logic network design of reduced size is generated.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Hari Mony, Viresh Paruthi
  • Patent number: 8468475
    Abstract: A system and method is disclosed for converting an existing circuit description from a lower level description, such as RTL, to a higher-level description, such as TLM, while raising the abstraction level. By changing the abstraction level, the conversion is not simply a code conversion from one language to another, but a process of learning the circuit using neural networks and representing the circuit using a system of equations that approximate the circuit behavior, particularly with respect to timing aspects. A higher level of abstraction eliminates much of the particular implementation details, and allows easier and faster design exploration, analysis, and test, before implementation. In one aspect, a model description of the circuit, protocol information relating to the circuit, and simulation data associated with the lower level description of the circuit are used to generate an abstract model of the circuit that approximates the circuit behavior.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: June 18, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Yossi Veller, Vasile Hanga, Alexander Rozenman, Rami Rachamim
  • Patent number: 8464188
    Abstract: Systems and methods are provided for a scheme and mechanism for performing static analysis of a sample time aware state diagram model to compute and propagate multiple samples rates associated with the state diagram model. A graphical intermediate representation of the state diagram model, such as a directed graph or control flow graph, is used to determine how the multiple sample rates are propagated via elements of the state diagram model. The graph provides a static representation of the control of flow, including alternative and/or conditional flow paths, of the state diagram model. The present invention determines the propagation of sample rates via analysis and traversal of the intermediate representation. By using the techniques of the present invention, a state diagram model may provide multiple sample rate outputs, such as by function calls and output signals to a graphical model, such as a model representing a dynamic system.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: June 11, 2013
    Assignee: The MathWorks, Inc.
    Inventors: Vijay Raghavan, Ebrahim Mehran Mestchian
  • Patent number: 8458629
    Abstract: An abstract decision module primitive for placement within a logical representation (i.e., a netlist) of a circuit design is described. The decision module primitive receives as inputs alternative solutions for a given function or segment of a netlist. The alternative solutions include functionally equivalent, but structurally different implementations of the function or segment of the netlist. The decision module primitive alternatively selects between connecting one of the inputs to the netlist to provide a complete functional definition for the netlist based on constraint information. The selected input of the decision module may be updated as additional constraint information is determined throughout the various stages of the design process. In addition, alternative solutions for a given function or segment of the netlist may be added to and/or removed from the inputs of a decision module as additional constraint information is identified.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: June 4, 2013
    Assignee: Tabula Inc.
    Inventors: Andrew Caldwell, Steven Teig
  • Patent number: 8458630
    Abstract: A method for integrated circuit design is disclosed including determining if at least one dynamic class and at least one virtual function are present within a chip program description of an integrated circuit design; and if so then converting the at least one virtual function into a non-virtual function, generating at least one virtual pointer for the at least one dynamic class, converting at least one function calling the at least one virtual function into at least one conditional function responsive to a value of the at least one virtual pointer, and generating dataflow graphs of the at least one dynamic class and the at least one conditional function that can be transformed into a synthesizable design description of the integrated circuit design.
    Type: Grant
    Filed: June 26, 2010
    Date of Patent: June 4, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: David Van Canpenhout
  • Patent number: 8453079
    Abstract: Methods and systems for performing automated conversion of synchronous circuit design to asynchronous circuit design representations are described. A synchronous netlist may be generated from a synchronous circuit design. The synchronous netlist may include combinational logic gates and state-holding elements. The synchronous netlist may be converted to an asynchronous circuit design. The converting may include grouping the combinational logic gates by operations into functions.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: May 28, 2013
    Assignee: Achronix Semiconductor Corporation
    Inventor: Rajit Manohar
  • Patent number: 8448106
    Abstract: Large-scale finite state machines. An implementation is a method of splitting FSMs in which a dataflow graph is accessed that represents an expression. A cost analysis of computing sub-expressions of the expression corresponding to subsets of the dataflow graph is performed. Based on the cost analysis, the dataflow graph is split into separate dataflow graphs. A finite state machine is determined for each of the dataflow graphs. In another implementation, expressions are partitioned into groups that are implemented with respective FSMs without exploding the number of states in the FSMs. Another implementation is a computer-implemented method of relaxing a constraint when determining FSMs.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: May 21, 2013
    Assignee: Synopsys, Inc.
    Inventor: Niels Vanspauwen
  • Patent number: 8448105
    Abstract: Techniques are described for generating asynchronous circuits from any arbitrary HDL representation of a synchronous circuit by automatically clustering the synthesized gates into pipeline stages that are then slack-matched to meet performance goals while minimizing area. Automatic pipelining can be provided in which the throughput of the overall design is not limited to the clock frequency or the level of pipelining in the original RTL specification. The techniques are applicable to many asynchronous design styles. A model and infrastructure can be designed that guides clustering to avoid the introduction of deadlocks and achieve a target circuit performance. Slack matching models can be used to take advantage of fanout optimizations of buffer trees that improve the quality of the results.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: May 21, 2013
    Assignees: University of Southern California, Fulcrum Microsystems, Inc.
    Inventors: Georgios Dimou, Peter A. Beerel, Andrew Lines
  • Publication number: 20130122627
    Abstract: Bulk acoustic wave filters and/or bulk acoustic resonators integrated with CMOS devices, methods of manufacture and design structure are provided. The method includes forming a single crystalline beam from a silicon layer on an insulator. The method further includes providing a coating of insulator material over the single crystalline beam. The method further includes forming a via through the insulator material exposing a wafer underlying the insulator. The insulator material remains over the single crystalline beam. The method further includes providing a sacrificial material in the via and over the insulator material. The method further includes providing a lid on the sacrificial material. The method further includes venting, through the lid, the sacrificial material and a portion of the wafer under the single crystalline beam to form an upper cavity above the single crystalline beam and a lower cavity in the wafer, below the single crystalline beam.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David L. HARAME, Anthony K. STAMPER
  • Patent number: 8443319
    Abstract: This disclosure describes a method illustrated in FIG. 7 to prepare re-architected digital logic designs for sequential equivalence checking. This method initially begins with a description of an electrical design module that includes a plurality of ports, and a description of an electrical reference model that comprises a hierarchy of one or more reference modules where each said reference module comprises a plurality of internal signals. In addition, this method includes a configuration file with additional initial information. The method then processes 100 a configuration file. Then, the method 105 computes one or more output files. Finally, the method 110 writes the output files.
    Type: Grant
    Filed: October 28, 2009
    Date of Patent: May 14, 2013
    Assignee: Apple Inc.
    Inventor: Mark H. Nodine
  • Patent number: 8443344
    Abstract: Approaches for generating a hardware definition from a program specified in a high-level language. In one approach, a first set of blocks of instructions in the high-level language program is identified. Each block in the first set is bounded by a respective loop designation in the high-level language. For each block in the first set, an associated respective second set of one or more blocks of the program is identified. Each block in the second set is outside the block in the first set. A hardware definition of the program is generated and stored. For each block in the first set, the hardware definition specifies power-reducing circuitry for one or more blocks in the associated second set. The power-reducing circuitry is controlled based on a status indication from the hardware definition of the block in the first set.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: May 14, 2013
    Assignee: Xilinx, Inc.
    Inventors: Prasanna Sundararajan, Tim Tuan
  • Patent number: 8443315
    Abstract: Methods, circuits, and systems for converting reset mechanisms in a synchronous circuit design into a corresponding asynchronous representation are described. These may operate to convert synchronous state holding blocks that include reset signals to corresponding asynchronous dataflow logic blocks. A replicated reset token at a fraction of the operational frequency of the reset signal may be distributed to the locations of the asynchronous dataflow logic blocks. Additional methods, circuits, and systems are disclosed.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: May 14, 2013
    Assignee: Achronix Semiconductor Corporation
    Inventors: Rajit Manohar, Clinton W. Kelly, Virantha Ekanayake, Gael Paul
  • Publication number: 20130117720
    Abstract: Design and verification support related to integrated circuits that includes acquiring a first use case diagram representing a function of an object subject to design and verification and an activity diagram representing a processing procedure of the object; analyzing a structure of the activity diagram acquired at the acquiring step; converting the activity diagram to a second use case diagram representing a function of the object, based on the structure analyzed at the analyzing; verifying uniformity of the first use case diagram and the second use case diagram; and outputting a verification result obtained at the verifying uniformity.
    Type: Application
    Filed: December 28, 2012
    Publication date: May 9, 2013
    Applicant: FUJITSU LIMITED
    Inventor: FUJITSU LIMITED
  • Patent number: 8438512
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Cross, Eric Nequist
  • Publication number: 20130111423
    Abstract: A novel set of reconfiguration tools combine the RTL (Register Transfer Language) construct detection of synthesis compilers with a more advanced implementation of expansion syntax. HDL (Hardware Description Language) coding constructs are automatically detected and recoded and/or modified, for both behavioral and structural HDL code. Configuration file(s) may be used to define the transformations, and transformation commands within the configuration file(s) may define where and how to apply RTL changes. The tool may automatically identify sections of code as the file is parsed (e.g. like a compiler). The transformations are written out in RTL, and the resulting reconfigured RTL file(s) may be processed by a synthesis tool, or may be simulated using a suitable simulator. This allows for automated detection and configurable modification of HDL-coding constructs. Design changes may therefore be verified earlier in the design process, since changes are embedded in RTL, instead of being embedded in the netlist.
    Type: Application
    Filed: October 27, 2011
    Publication date: May 2, 2013
    Inventors: Liang Xia, Mario A. Maldonado, JR.
  • Publication number: 20130111424
    Abstract: During a pop phase of hierarchical repartitioning of an IC design, all cells within a current hierarchy may be identified, the list of cells may be ungrouped to dissolve the current hierarchy, one or more specified cells may be removed from the list of cells, where the specified one or more cells are to be moved to a different hierarchy, and the new list of cells without the specified one or more cells may be re-grouped, to re-form the previously dissolved hierarchy. During a push phase of the hierarchical repartitioning, all cells within the next lower-level hierarchy may be identified, the identified list of cells may be ungrouped to dissolve that hierarchy, the specified one or more cells may be added to the identified list of cells, and the new list of cells that includes the specified one or more cells may be grouped to reform the previously dissolved hierarchy.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Inventors: Robert D. Kenney, Hani Hasan Mustafa Saleh, Sreevathsa Ramachandra
  • Patent number: 8434035
    Abstract: Methods and apparatuses are disclosed for generating a placed, routed, and optimized circuit design. Other embodiments are a circuit design and circuit created with the technology. The placed, routed, and optimized circuit design obeys relative positioning rules of a set of the circuit elements. Such relative positioning rules were created specifically for these circuit elements.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: April 30, 2013
    Assignee: Synopsys, Inc.
    Inventor: Anand Arunachalam
  • Patent number: 8434051
    Abstract: A method of automating circuit design is provided and includes storing one or more circuit design schematics in a memory, providing, by way of an interface, a plurality of search parameters for searching for nets of the schematics in the memory, searching for nets of the schematics in the memory in accordance with search parameters input into the interface and presenting, by way of the interface, information associated with a net matching the received search parameters.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kutalmis Koyuncu, David Webber, Michael H. Wood
  • Patent number: 8434036
    Abstract: An arithmetic-program conversion apparatus includes: a program storage section storing an arithmetic program describing a circuit by a logical expression including a plurality of input and output variables, and operators; if the expression has three input variables or more, an intermediate-variable generation section generating an intermediate variable for converting the expression into a plurality of binomials including input and output variables; if the intermediate variable is generated, an expression conversion section converting the logical expression into a plurality of binomials including a binomial for obtaining the intermediate variable and a binomial obtaining the output variable from the intermediate variable; if a plurality of binomials are generated, an expression update section updating the stored original expression; a bit-width determination section determining bit widths of the output, input, and intermediate variables of the expression; and a bit-width storage section storing the bit widths
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: April 30, 2013
    Assignee: Sony Corporation
    Inventor: Shota Hasegawa
  • Patent number: 8429580
    Abstract: A method for preparing an IC design that has been modified to be formally verified with a reference IC design. Because some formal verification tools cannot handle the complexity often associated with sequential equivalence checking at the top level of a circuit, the modified IC design may be instantiated into a number of different design versions, each having different levels of modification complexity. In addition, the reference IC design and the modified versions may be decomposed into a datapath and control path. The reference IC design and each of the modified IC design versions may also use wrappers to encapsulate various levels of hierarchy of the logic. Lastly, rather than having to verify each of the modified versions back to the reference IC design, the equivalence checking may be performed between each modified IC design version and a next modified IC design version having a greater modification computational complexity.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: April 23, 2013
    Assignee: Apple Inc.
    Inventors: Raymond C. Yeung, Irfan Waheed, Mark H. Nodine
  • Patent number: 8423977
    Abstract: System and method for converting a class oriented data flow program to a structure oriented data flow program. A first data flow program is received, where the first data flow program is an object oriented program comprising instances of one or more classes, and wherein the first data flow program is executable to perform a first function. The first data flow program is automatically converted to a second data flow program, where the second data flow program does not include the instances of the one or more classes, and where the second data flow program is executable to perform the first function. The second data flow program is stored on a computer memory, where the second data flow program is configured to be deployed to a device, e.g., a programmable hardware element, and where the second data flow program is executable on the device to perform the first function.
    Type: Grant
    Filed: April 27, 2009
    Date of Patent: April 16, 2013
    Assignee: National Instruments Corporation
    Inventors: Stephen R. Mercer, Akash B. Bhakta, Matthew E. Novacek
  • Patent number: 8418095
    Abstract: One or more embodiments provide a method of HDL simulation that determines characteristics of nets, such as shorting of nets, non-blocking assignments, etc., for the entire circuit design during compilation. Simulation code and data structures are generated for each net, individually, based on the determined characteristics of the respective net. As a result, rather than implementing code for simulation of each net capable of handling every possible combination of the characteristics, less complex code and data structures may be generated for simulation of the nets.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: April 9, 2013
    Assignee: Xilinx, Inc.
    Inventors: Hem C. Neema, Sonal Santan, Kumar Deepak
  • Patent number: 8418110
    Abstract: An integrated circuit characterized by a netlist may be routed using a routing priority list that may be created using port obscurity factors. A port obscurity factor may indicate how difficult it may be to route to that port and may be calculated as being inversely proportional to the number of routing tracks that may be connectable to that port. Routing priorities for the nets of the netlist may then be created using the port obscurity factors of the ports in the net. Routing may then be done in the order determined by the routing priority list and the generated layout information stored in a computer useable medium. In some cases, routing may be performed using multiple routing passes where a new routing priority list may be calculated for each routing pass.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joachim Keinert, Thomas Ludwig
  • Patent number: 8418120
    Abstract: A computer-implemented method for performing a layout extraction for a multi-fingered semiconductor device is disclosed. The method reduces the netlist for the device and the number of device fingers by identifying a set of device common nodes, and combining a plurality of parasitic elements in the device to form a set of representative parasitic elements which are connected to respective device common nodes. In one embodiment, the method includes combining the parasitic elements of at least one device common node into a single representative parasitic element which is representative of the original parasitic elements.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventor: Ning Lu
  • Patent number: 8418093
    Abstract: Methods and systems are provided for reducing an original circuit design into a simplified circuit design by merging gates that may not be equivalent but can be demonstrated to preserve target assertability with respect to the original circuitry design. A composite netlist is created from the simplified netlist and the original netlist. The composite netlist includes a number of targets that imply the existence of a target in the simplified netlist and a corresponding target in the original netlist. The implications are verified and then validated to ensure the simplified circuit design is a suitable replacement for the original circuit design.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Geert Janssen, Robert L. Kanzelman
  • Patent number: 8418094
    Abstract: Disclosed are a method, a system, and a computer program product for implementing compact manufacturing model during various stages of electronic circuit designs. In some embodiments, the method loads the full design database information on the master; distributes the tasks to be processed in parallel; loads a full design on a master; spawns a plurality of slave sessions; sends to at least one slave a nutshell representation of the electronic circuit design; identifies a task to perform in parallel and sends the task to be performed in parallel; and receives execution results or processing results from some of the plurality of slaves and updates one or more databases to incorporate the execution or processing results. In some embodiments, the method allows speeding up the applications without major rewrite without a need for design partition, and without memory penalty.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: April 9, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnaud Pedenon, Philippe Lenoble, Claire Nauts
  • Publication number: 20130086537
    Abstract: Mechanisms are provided for generating a logic design of an integrated circuit device. An initial logic design representation of the integrated circuit device is received and one or more areas of the initial logic design representation are identified where logic elements in the one or more areas can be replaced with one or more multiplexer tree structures. Logic elements in the one or more areas of the initial logic design representation are replaced with multiplexer tree structures to generate a modified logic design representation. The modified logic design representation is output to a physical synthesis system to generate a physical layout of the integrated circuit device based on the modified logic design representation.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 4, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Charles J. Alpert, Victor N. Kravets, Zhuo Li, Louise H. Trevillyan, Ying Zhou
  • Patent number: 8413085
    Abstract: Methods and systems are provided to reduce the complexity of sequential digital circuitry including cells of unknown function by grouping and defining like instance of combinational circuitry cells. The system groups together cells that feed into the same combination of one or more state cells. The groups of cells are then replaced by clouds which are defined in the netlist for the sequential digital circuitry to produce a simpler representation of the circuitry for analysis purposes and to aid in determining the function of those cells for which the function is unknown.
    Type: Grant
    Filed: April 9, 2011
    Date of Patent: April 2, 2013
    Assignee: Chipworks Inc.
    Inventor: Michael Green
  • Patent number: 8413101
    Abstract: In an embodiment, a method includes retrieving a layout of an integrated circuit design from a non-transitory computer readable medium, identifying a silicon controlled rectifier (SCR) structure in the layout, identifying a current injection site in the layout, and determining if a distance between the identified current injection site and the identified SCR structure is less than a first threshold. A violation is flagged if the determined distance is less than the first threshold.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: April 2, 2013
    Assignee: Infineon Technologies AG
    Inventors: Krzysztof Domanski, Jens Schneider, Angelika Jungmann
  • Patent number: 8413091
    Abstract: A mechanism is provided for simplifying a netlist before computational resources are exceeded. For each of a set of suspected equivalences in a proof graph of a netlist, a determination is made as to whether equivalence holds for at least one of an equivalence or an equivalence class by identifying whether the equivalence or equivalence class is either affecting or non-affecting. Responsive to the equivalence or equivalence class being affecting, a proof dependency is recorded as an edge in a proof graph. For each node in the proof graph, a determination is made as to whether the node has a falsified dependency. Responsive to the node failing to have a falsified dependency, identification is made that all dependencies are satisfied and that the equivalences represented by the node in the proof graph are sequential equivalences. The netlist is then simplified by consuming the sequential equivalences.
    Type: Grant
    Filed: April 22, 2011
    Date of Patent: April 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8413092
    Abstract: A circuit design supporting apparatus includes: an observation portion specifying section configured to specify a first portion with a high improvement effect of analysis easiness in failure analysis of an integrated circuit as an observation portion; and an element substitution performing section configured to substitute an element arranged in the observation portion by an analysis target element to which a failure analysis apparatus can appropriately conduct the failure analysis based on a data of the observation portion.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: April 2, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Junpei Nonaka
  • Patent number: 8407634
    Abstract: Roughly described, a method for approximating stress-induced mobility enhancement in a channel region in an integrated circuit layout, including approximating the stress at each of a plurality of sample points in the channel, converting the stress approximation at each of the sample points to a respective mobility enhancement value, and averaging the mobility enhancement values at all the sample points. The method enables integrated circuit stress analysis that takes into account stresses contributed by multiple stress generation mechanisms, stresses having vector components other than along the length of the channel, and stress contributions (including mitigations) due to the presence of other structures in the neighborhood of the channel region under study, other than the nearest STI interfaces. The method also enables stress analysis of large layout regions and even full-chip layouts, without incurring the computation costs of a full TCAD simulation.
    Type: Grant
    Filed: December 1, 2005
    Date of Patent: March 26, 2013
    Assignee: Synopsys Inc.
    Inventors: Victor Moroz, Dipankar Pramanik
  • Patent number: 8402408
    Abstract: An electronic automation system performs register retiming on a logic design, which may be a logic design for a programmable logic integrated circuit. Register retiming is a moving or rearranging of registers across combinatorial logic in a design in order to improve a maximum operating frequency or fmax. In one implementation, the system includes machine-readable code, which may be stored on a computer-readable medium such as a disk, executing on a computer. The system balances timing in order to trade off delays between critical and noncritical paths. Register retiming may make changes to a design at a gate level.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: March 19, 2013
    Assignee: Altera Corporation
    Inventors: Babette van Antwerpen, Michael D. Hutton, Gregg Baeckler, Richard Yuan
  • Patent number: 8402409
    Abstract: Method and apparatus for generating an implementation of a program language circuit description for a programmable logic device (PLD) is described. In one example, the program language circuit description is analyzed to identify constructs indicative of dynamic function re-assignment. A hardware description of the program language circuit description is generated. The hardware description includes a plurality of implementations responsive to the identified constructs. Physical implementation data is generated from the hardware description. The physical implementation includes a plurality of partial configurations for the PLD based on the respective plurality of implementations in the hardware description.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: March 19, 2013
    Assignee: Xilinx, Inc.
    Inventors: Jorn W. Janneck, David B. Parlour, Paul R. Schumacher