Translation (logic-to-logic, Logic-to-netlist, Netlist Processing) Patents (Class 716/103)
  • Patent number: 9235672
    Abstract: An analysis unit analyzes a source code representing design data of a semiconductor device, and generates information (CDFG information) indicating the data and control flow of the semiconductor device. A high-level synthesis data generation unit acquires intermediate data (an object file), which is obtained by compiling the source code, generates intermediate data (an object file) by incorporating the CDFG information generated by the analysis unit into the acquired intermediate data, and outputs the generated intermediate data as high-level synthesis data.
    Type: Grant
    Filed: August 22, 2014
    Date of Patent: January 12, 2016
    Assignee: SOCIONEXT INC.
    Inventors: Atsushi Yasunaka, Kimitoshi Niratsuka
  • Patent number: 9235675
    Abstract: An embodiment includes a computer program product for providing a yield prediction. The computer program product has a non-transitory computer readable medium with a computer program embodied thereon. The computer program comprises computer program code for obtaining a representation of a circuit. The circuit comprises a common path and a critical path, and the critical path represents multiple parallel paths. The computer program further comprises computer program code for obtaining a first table representing the common path and a second table representing the multiple parallel paths and computer program code for performing a variable based simulation based on the representation of the circuit, the first table, and the second table. The computer program also comprises computer program code for determining a result indication of each of the multiple parallel paths based on the variable based simulation compared with a predetermined specification.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: January 12, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wei Min Chan, Shao-Yu Chou
  • Patent number: 9223921
    Abstract: In an example implementation, a method is provided for compiling an HLL source file. The HLL source file checked for function calls to a set of hardware-accelerated functions having hardware implementations specified in a hardware library. For each HLL function call to a hardware-accelerated function, a circuit design is retrieved from the hardware library. The circuit design specifies a hardware implementation of the hardware-accelerated function. HLL interface code configured to communicate with the hardware implementation of the hardware-accelerated function is also generated. The HLL function call to the hardware-accelerated function in the HLL source file is replaced with the generated interface code. The HLL source file is compiled to generate a program executable on a processor of a programmable IC. Configuration data is generated that implements the retrieved circuit designs on the programmable circuitry of the programmable IC.
    Type: Grant
    Filed: November 13, 2014
    Date of Patent: December 29, 2015
    Assignee: XILINX, INC.
    Inventors: Jorge E. Carrillo, L. James Hwang, Hua Sun, Sundararajarao Mohan, Vinod K. Kathail
  • Patent number: 9195458
    Abstract: According to an aspect of some embodiments of the present invention there is provided a computerized method of analyzing code of a software program for dominance relationships between a plurality of functions of the software program, the method comprising: receiving source code of a software program, the source code having a plurality of functions; identifying a plurality of intraprocedural dominator graphs each for another of the plurality of functions; combining the plurality of intraprocedural dominator graphs to create an interprocedural dominance graph with edges that logically connect between nodes of the plurality of functions; identifying a plurality of interprocedural dominance relations between nodes in different functions of the plurality of functions using the interprocedural dominance graph; and analyzing the software program according to the plurality of interprocedural dominance relations.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: November 24, 2015
    Assignee: International Business Machines Corporation
    Inventors: Aharon Abadi, Moria Abadi, Jonathan Bnayahu, Yishai Feldman
  • Patent number: 9177096
    Abstract: An approach is provided in which a design tool executes static timing analysis of an integrated circuit design using a first set of timing values corresponding to a first set of layout properties of a transistor included in a standard cell utilized by the integrated circuit design. When the design tool determines that the static timing analysis generates a timing violation within a violation budget, the design tool selects a second set of timing values of the standard cell corresponding to a second set of layout properties of the transistor. The design tool determines that re-execution of the static timing analysis using the second set of timing values resolves the timing violation and, in turn, generates mask layer data that includes the second set of layout properties.
    Type: Grant
    Filed: March 26, 2014
    Date of Patent: November 3, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Savithri Sundareswaran, James A. Tuvell
  • Patent number: 9176793
    Abstract: Apparatus and method for use with a data processing system, wherein the data processing system comprises a client and a server, comprising: a receive component for receiving a call from a client application to a first method of an object, and for receiving a reply on the channel; an interceptor component, for wrapping the object to provide a wrapped call to a second method of the wrapped object, and for invoking a response handler; a client encoder component for converting the wrapped call into a message; a client channel manager component for establishing a channel to the server; a sender component, for sending the message on the channel; a reply decoder component for decoding the reply to provide a response; and the sender component further for sending the response to the client application.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: November 3, 2015
    Assignee: International Business Machines Corporation
    Inventor: Vincent Burckhardt
  • Patent number: 9171115
    Abstract: A system, method, and computer program product are provided for translating a hardware design. In use, a hardware design is received that is a graph-based common representation of a hardware design stored in a hardware model database. Logic code is generated for each hardware module node of the graph-based common representation of the hardware design. Additionally, flow control code is generated for each hardware module node of the graph-based common representation of the hardware design. A logic code model of the hardware design that includes the generated logic code and the generated flow control code is stored.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: October 27, 2015
    Assignee: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 9164807
    Abstract: A system including a plurality of processing units for executing tasks in parallel and a communication network. The processing units are organized into clusters of units, each cluster comprising a local memory. The system includes means for statically allocating tasks to each cluster of units, so that a task of an application is processed by the same cluster of units from one execution to another. Each cluster includes cluster management means for allocating tasks to each of its processing units and space in the local memory for executing them, so that a given task of an application may not be processed by the same processing unit from one execution to another. The cluster management means includes means for managing the tasks, means for managing the processing units, means for managing the local memory and means for managing the communications involving its processing units. The management means operate simultaneously and cooperatively.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: October 20, 2015
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Frédéric Blanc, Thierry Collette, Raphaël David, Vincent David, Michel Harrand, Stéphane Louise, Nicolas Ventroux
  • Patent number: 9147019
    Abstract: Techniques for determining and a computing device configured to determine a quantum Karnaugh map through decomposing a quantum circuit into a multiple number of sub-circuits are provided. Also, techniques for obtaining and a computing device configured to obtain a quantum circuit which includes the minimum number of gates among possible quantum circuits corresponding to a quantum Karnaugh map are also provided.
    Type: Grant
    Filed: February 5, 2014
    Date of Patent: September 29, 2015
    Assignee: UNIVERSITY OF SEOUL INDUSTRY COOPERATION FOUNDATION
    Inventor: Doyeol Ahn
  • Patent number: 9141740
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing full-chip optimization across block boundaries with reduced physical design data. Some embodiments create a partial netlist and reduced physical data by identifying and including side instance(s) or side path(s) in the reduced physical data and then include or exclude side instance(s) or side path(s) in the reduced physical data. The method or the system may then perform full-chip optimization across individual block boundaries with the reduced physical data. Some embodiments further merge the post-optimization data back into the original data while reducing logic and physical disturbance to existing designs. Some embodiments anchor driver instance(s) that correspond to excluded side instance(s) or side path(s) to ensure LEC cleanliness and may further trim timing graph(s) based at least on the partial netlist. Some embodiments account for parasitics without static parasitic files.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: September 22, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dongzi Liu, Oleg Levitsky
  • Patent number: 9122826
    Abstract: A method for designing a system on a target device includes merging a netlist for a first partition of the system generated from a bottom-up design flow with a netlist for a second partition of the system from a top-down design flow to form a combined netlist, and performing fitting on the combined netlist.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: September 1, 2015
    Assignee: Altera Corporation
    Inventors: Terry Borer, Andrew Leaver, David Karchmer, Gabriel Quan, Stephen D. Brown
  • Patent number: 9121902
    Abstract: A broadcaster, system, and method for reducing test data volume and test application time in an ATE (automatic test equipment) in a scan-based integrated circuit. The scan-based integrated circuit contains multiple scan chains, each scan chain comprising multiple scan cells coupled in series. The broadcaster is a combinational logic network coupled to an optional virtual scan controller and an optional scan connector. The virtual scan controller controls the operation of the broadcaster. The system transmits virtual scan patterns stored in the ATE and generates broadcast scan patterns through the broadcaster for testing manufacturing faults in the scan-based integrated circuit. The number of scan chains that can be supported by the ATE is significantly increased. Methods are further proposed to reorder scan cells in selected scan chains, to generate the broadcast scan patterns and virtual scan patterns, and to synthesize the broadcaster and a compactor in the scan-based integrated circuit.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: September 1, 2015
    Assignee: Syntest Technologies, Inc.
    Inventors: Laung-Terng Wang, Hsin-Po Wang
  • Patent number: 9116206
    Abstract: A relatively small amount of programmable logic may be included in a mostly ASIC device such that the programmable logic can be used as a substitute for a fault-infected ASIC block. This substitution may occur permanently or temporarily. When an ASIC block is temporarily substituted, faulty outputs of the ASIC block are disabled just at the time they would otherwise propagate an error. The operations of the temporarily deactivated ASIC block(s) may be substituted for by appropriately programmed programmable logic. Thus, a fault-infected ASIC block that operates improperly 1% of the time can continue to be gainfully used for the 99% of the time when its operations are fault free. This substitution can be activated in various stages of the ASIC block's life including after: initial design; pilot production; and mass production. This provides for cost saving and faster time-to-market, repair, and maintenance even years after installation and use.
    Type: Grant
    Filed: April 10, 2014
    Date of Patent: August 25, 2015
    Assignee: Sheyu Group, LLC
    Inventor: James T. Koo
  • Patent number: 9105120
    Abstract: A display system of electronic manual which allows easy identification of wirings. The display system of electronic manual is provided with a storage device in which stored is an electronic manual provided with a wiring diagram described in a vector image description language and constituted so as to allow reading by a browser program. In response to user's selection of a component on the wiring diagram displayed on a display, a wiring display system highlights the selected component. In response to user's operation of selecting the highlight, the wiring display system highlights wirings extending from the selected component. Moreover, while the wiring is highlighted, in response to user's operation of selecting gray display, the wiring display system switches the elements other than the selected component and the wirings extending from the component on the wiring diagram displayed on the display to be displayed in weak gray.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: August 11, 2015
    Assignee: HONDA MOTOR CO., LTD.
    Inventors: Eiichiro Shimoyama, Tsukasa Saito, Yasushi Sato
  • Patent number: 9103878
    Abstract: A method for scan testing a three-dimensional chip, comprising: establishing a scan forest structure for the three-dimensional chip; generating a first test set and a plurality of test periods, and dividing the first test set into a plurality of test subsets; distributing test vectors in the plurality of test subsets into the plurality of test periods; obtaining a current hotspot of the three-dimensional chip; ranking the plurality of test subsets in accordance with an order of temperature rising values from small to large to obtain a test vector strategy; selecting the test subsets corresponding to the temperature rising values less than a temperature threshold from the plurality of test subsets according to the test vector strategy, so as to generate a second test set; and applying the second test set to the scan forest structure, and updating the current hotspot of the three-dimensional chip.
    Type: Grant
    Filed: April 17, 2013
    Date of Patent: August 11, 2015
    Assignee: TSINGHUA UNIVERSITY
    Inventors: Dong Xiang, Kele Shen
  • Patent number: 9065440
    Abstract: Integrated circuits with sequential logic circuitry are provided. Sequential logic circuitry may include a chain of bypassable clocked storage elements coupled between a speed critical input terminal and a speed critical output terminal. Combinational logic circuits may be interposed between each adjacent pair of bypassable clocked storage elements in the chain. Dynamic voltage-frequency scaling (DVFS) control circuitry may provide an adjustable power supply voltage to the combinational logic circuits and may provide an adjustable clock signal to control the clocked storage elements. The DVFS control circuitry may be used to selectively enable at least some of the bypassable clocked storage elements while disabling other bypassable clocked storage elements so that the power supply voltage can be reduced while maintaining the same operating frequency. The power supply voltage and the frequency of the clock signal can be adjusted to provide the desired voltage-frequency tradeoff.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: June 23, 2015
    Assignee: Altera Corporation
    Inventor: Jeffrey Christopher Chromczak
  • Patent number: 9043739
    Abstract: Methods and systems are described for placing arithmetic operators on a programmable integrated circuit device (e.g., a PLD). Placement of arithmetic operators of a data flow graph in one of multiple regions (e.g., a region of DSP circuitry blocks or a region of logic fabric circuitry) on the programmable integrated circuitry device may be determined (e.g., randomly). A score related to the performance of the graph (e.g., a score related to data flow graph routing delays or area consumed by the data flow graph) may be determined and this process may be repeated after one of the arithmetic operators of the data flow graph is moved. The placement of arithmetic operators that corresponds to the best value for the score related to the performance of the data flow graph may be stored. Accordingly, more arithmetic operators may be included on a programmable integrated device than in conventional devices.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: May 26, 2015
    Assignee: Altera Corporation
    Inventor: Steve Casselman
  • Patent number: 9032343
    Abstract: This disclosure relates generally to field-programmable gate arrays (FPGAs). Some implementations relate to methods and systems for transmitting and integrating an intellectual property (IP) block with another user's design. The IP developer can design the IP block to include both a secret portion and a public portion. The IP block developer can send or otherwise provide the IP block to another IP user without disclosing the functional description of the secret portion of the IP block. In some implementations, the IP developer provides the public portion to the IP user at the register-transfer-level (RTL) level, as a hardware description language (HDL)-implemented design, or as a synthesizable netlist. In some implementations, the IP developer provides the secret portion of the IP block to the user in the form of programming bits without providing an HDL, RTL, or netlist implementation of the secret portion.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: May 12, 2015
    Assignee: Altera Corporation
    Inventor: David Samuel Goldman
  • Publication number: 20150121323
    Abstract: Determining a quality parameter for a verification environment for a register-transfer level hardware design language description of a hardware design. A netlist is generated from the hardware design language description. A list of hardware design outputs is generated, and logical paths in the netlist are generated based on the list of hardware design outputs. Furthermore, a modified netlist involving logical paths is generated by determining whether a gate is selected as an insertion point, and selecting a fault type, which is part of the efficiency vector for the selected gate in the netlist and inserting a mutant. Additionally, a fault simulation is performed and the quality parameter for the verification environment is determined from the fault simulation and the simulation result data.
    Type: Application
    Filed: October 20, 2014
    Publication date: April 30, 2015
    Inventors: Peng Fei Gou, Bodo Hoppe, Dan Liu, Yong Feng Pan
  • Publication number: 20150121322
    Abstract: Among other things, one or more systems and techniques for porting a circuit design from a first process design type to a second process design type are provided. A circuit design comprises one or more components, such as transistors, that are arranged and sized according to a first process design type, such as a 90 nm processing environment. The circuit design is partitioned into one or more topology categories such as a current mirror topology category or a differential pair topology category. Ordered sets of parameters are determined for respective topology categories. The components within the circuit design are resized based upon the one or more topology categories to generate a ported circuit design specified for the second process design type, such as a 50 nm processing environment.
    Type: Application
    Filed: October 31, 2013
    Publication date: April 30, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventor: Wei Chih Chen
  • Patent number: 9015643
    Abstract: A system, method, and computer program product are provided for applying a callback function to data values. In use, a plurality of data values and a callback function are identified. Additionally, the callback function is recursively applied to the plurality of data values in order to determine a result. Further, the result is returned.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 21, 2015
    Assignee: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 9015012
    Abstract: A method, apparatus and product for completion of partial coverage tasks. The method comprising obtaining a partial coverage task defining a test with respect to a functional coverage model of a System Under Test (SUT), wherein the functional coverage model defining functional attributes and respective domains thereof, wherein the functional coverage model further defining one or more restrictions on value combinations of the functional attributes; and enhancing the partial coverage task to include an assignment of a value to a functional attribute, wherein the functional attribute is unassigned in the partial coverage task, wherein the value is an only valid assignment to the functional attribute in view of assignments of other functional attributes and in view of the restrictions.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: April 21, 2015
    Assignee: International Business Machines Corporation
    Inventor: Rachel Tzoref-Brill
  • Publication number: 20150100929
    Abstract: A method and method of extracting information from a netlist. The netlist for a device under test (DUT) is read and a circuit selected to be transformed. Transformation candidates are identified using transformation specific criteria and verification methods are applied to prove the transformation is equivalent to the circuit being transformed. If the candidate transformation is equivalent to the circuit being transformed, the system commits to the transformation. If the candidate transformation is not equivalent to the circuit being transformed, the transformation is undone.
    Type: Application
    Filed: August 7, 2014
    Publication date: April 9, 2015
    Inventors: Mark W. Redekopp, Parviz Saghizadeh
  • Publication number: 20150095861
    Abstract: In an application-specific integrated circuit (ASIC), a description of the logic circuit is formulated in a hardware description language and then converted into a description of a corresponding physical circuit, i.e., into a netlist, using a conversion program, i.e., a synthesis tool. The description at least largely consisting of standard cells. During the conversion process, the standard cells which are used in the netlist are replaced with standard cell versions which have a correspondingly balanced power dissipation. Spying on a mode of operation of the circuit by analyzing a power consumption of the circuit is thus advantageously hindered or prevented, in particular in security-relevant circuits.
    Type: Application
    Filed: April 12, 2013
    Publication date: April 2, 2015
    Applicant: SIEMENS AG ÖSTERREICH
    Inventors: Friedrich Eppensteiner, Majid Ghameshlu, Herbert Taucher
  • Publication number: 20150095860
    Abstract: An arrangement and wiring method of a reconfigurable semiconductor device, including: generating a net list based on a circuit description in which a circuit configuration is described; extracting a sequential circuit data set which is to be scanned from the net list; generating a first truth value table data set so as to write into a first set among plurality of memory cell units from the sequential circuit data set which is to be scanned; and generating a second truth value table data set so as to write into a second set among the plurality of memory cell units from a combination logic circuit data set of the net list.
    Type: Application
    Filed: February 14, 2013
    Publication date: April 2, 2015
    Inventor: Masayuki Satou
  • Patent number: 8990739
    Abstract: A system and method tests for functional equivalence prior to automatically retiming a high-level specification. An Intermediate Representation (IR) includes one or more graphs or trees based on the high-level specification. A functional equivalence (FE) analyzer determines whether one or more components in the graph meet certain value and state conditions and thus is a candidate for retiming. A bounded scheduler then retimes only those components that pass the FE analysis.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: March 24, 2015
    Assignee: The MathWorks, Inc.
    Inventors: Yongfeng Gu, Girish Venkataramani
  • Patent number: 8990748
    Abstract: In one approach for improving timing in an electronic circuit design having a finite state machine (FSM), control bit logic is generated based on next state logic of the FSM that generates current state bits of the FSM. The control bit logic and a control state bit are added to operate in parallel with the next state logic and the current state bit registers, and the output signal from the control bit register replaces selected logic in logic downstream from the FSM and current state bit registers. If a worst case delay is improved with the design having the control bit logic and control state bit, the modified circuit design is saved for evaluating other possible timing improvements. Otherwise, the modification is discarded.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: March 24, 2015
    Assignee: Xilinx, Inc.
    Inventor: Reed P. Tidwell
  • Patent number: 8984456
    Abstract: A macro timing analysis device comprises a netlist merging unit which merges a layout-implemented top netlist obtained by executing clock path distribution and layout processing with respect to a top netlist with a lower-order hierarchy as a macro and a layout-implemented macro netlist obtained by cutting out a circuit in the macro from the layout-implemented top netlist to generate a merging-implemented macro netlist including description of a clock path outside the macro and description of a macro boundary path which are clock paths related to the macro, and a timing analysis unit which analyzes a timing of the macro boundary path by using the merging-implemented macro netlist.
    Type: Grant
    Filed: January 29, 2013
    Date of Patent: March 17, 2015
    Assignee: NEC Corporation
    Inventor: Koji Kanno
  • Publication number: 20150074623
    Abstract: In various embodiments, a user grey cell is disclosed. The user grey cell comprises a simplified logical implementation of a black box cell identified in a software and/or hardware design. The internal functionality of the black box cell is undefined, hidden, or encrypted, and thus is not available for timing analysis. The user grey cell for the black box cell provides sufficient clocking and register information to allow for accurate CDC, false path, and multi-cycle path analysis, and provides a way for designers to locate and repair clock domain crossing violations before the design is implemented in hardware. In various embodiments, a method for user grey cell analysis is disclosed. The method comprises identifying one or more black box cells in a user design. The method further comprises determining which of the input and/or output pins of each of the black box cells are in use by the user design.
    Type: Application
    Filed: September 5, 2014
    Publication date: March 12, 2015
    Inventors: Scott Aron Bloom, David E. Wallace
  • Patent number: 8977996
    Abstract: A design apparatus generates an optimal design condition by design space exploration. The apparatus including a source code parsing (301) which parses source code and generates a parse tree, a cluster generation task (302) which generates clusters based on the parse tree, each of the clusters including a group of source codes which can operate independently, a log file task (303) which generates a log file based on a structure of the cluster, and a comparison task (304) which compares a first log file of a previous cluster with a second log file of a current cluster and re-uses previous exploration result based on a comparison result, the first log file being obtained from a previous design space exploration, the second log file being generated from a current operation.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: March 10, 2015
    Assignee: NEC Corporation
    Inventor: Benjamin Carrion Schafer
  • Patent number: 8972923
    Abstract: Embodiments of the invention provide a method of automatically generating a hardware stream processor design including plural processes and interconnect between the plural processes to provide data paths between the plural processes, the method comprising: providing an input designating processes to be performed by the stream processor; automatically optimizing parameters associated with the interconnect between processes within the design so as to minimise hardware requirements whilst providing the required functionality; and generating an optimized design in accordance with the optimization.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: March 3, 2015
    Assignee: Maxeler Technologies Ltd.
    Inventor: Robert Gwilym Dimond
  • Patent number: 8966413
    Abstract: A chip generator according to an embodiment of the present invention codifies designer knowledge and design trade-offs into a template that can be used to create many different chips. Like reconfigurable designs, an embodiment of the present invention fixes the top level system architecture, amortizes software and validation and design costs, and enables a rich system simulation environment for application developers. Meanwhile, below the top level, the developer can “program” the individual inner components of the architecture. Unlike reconfigurable chips, a chip generator according to an embodiment of the present invention, compiles the program to create a customized chip. This compilation process occurs at elaboration time—long before silicon is fabricated. The result is a framework that enables more customization of the generated chip at the architectural level because additional components and logic can be added if the customization process requires it.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: February 24, 2015
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Ofer Shacham, Mark Horowitz, Stephen Richardson
  • Patent number: 8954905
    Abstract: In one embodiment of the invention, a physical layout wire-load algorithm is used to generate a wire-load model based on physical data including aspect ratio and wire definitions defined in a physical library. The physical layout estimator is utilized to dynamically produce the physical layout wire-load model and to calculate net length and delay for each optimization iteration.
    Type: Grant
    Filed: October 14, 2013
    Date of Patent: February 10, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hurley Song, Denis Baylor, Matthew Robert Rardon
  • Patent number: 8954917
    Abstract: A system, method, and computer program product is disclosed for performing electrical analysis of a circuit design. A voltage-based approach is described for performing two-stage transient EM-IR drop analysis of an electronic design. A two-stage approach is performed in some embodiments, in which the first stage operates by calculating the voltage at certain interface nodes. In the second stage, simulation is performed to simulate the circuit to concurrently obtain the current at the interface nodes. In some embodiments, multiple adjacent devices as identified as interface devices for purposes of the analysis. One situation where it may be useful to analyze a larger portion of the circuitry in this way where the analysis is being performed on a netlist having a power gate.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: February 10, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: John Yanjiang Shu, Wei Michael Tian, An-Chang Deng
  • Patent number: 8954904
    Abstract: A register transfer level (RTL) design is received which models a digital circuit in terms of the flow of digital signals. A power intent description is received which may include a description of power domains, identification of retention flops for each power domain, a list of isolation signals, and power switch definitions. A transformed RTL is produced accounting for functionality described in the power intent description. The transformed RTL includes flops designated as retention flops and non-retention flops. A retention flop module analyzes the flops to ensure that flops are properly designated as retention or non-retention flops. A verification module performs power aware sequential equivalence checking on various RTL and power intent descriptions to verify that RTL and power intent description outputs behave the same when accounting for power states.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: February 10, 2015
    Assignee: Jasper Design Automation, Inc.
    Inventors: Lawrence Loh, Barbara Jobstmann, Antonio Celso Caldeira, Jr., Jamil R. Mazzawi
  • Patent number: 8954909
    Abstract: A system and methods are provided for verifying a hardware design for an electronic circuit. The method may include: providing a hardware design description for the electronic circuit; extracting a set of design constraints from the hardware design description, where the set of design constraints represents the electronic circuit in terms of signals and logical operations performed on the signals; creating an abstraction model from the set of design constraints, where the abstraction model abstracts one or more of the logical operations in the set of design constraints by replacing the abstracted logical operations with uninterpreted functions; and property checking the abstraction model in relation to one or more design properties. When a violation in the electronic circuit is detected by the property checking step, the feasibility of the violation is then checked and, if the violation is deemed infeasible, the abstraction model is refined.
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: February 10, 2015
    Assignee: The Regents of the University of Michigan
    Inventors: Zaher Andraus, Karem A. Sakallah, Mark Liffiton
  • Patent number: 8949751
    Abstract: A method for visually verifying an implementation of a design is described. The method includes integrating logical design data, physical design data, and physical implementation data into a common data format and graphically displaying the commonly formatted data to provide a visualization of the design, the visualization including a spatial context component associated with the physical implementation data.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: February 3, 2015
    Assignee: The Boeing Company
    Inventors: Brent Hadley, Patrick Jan Eames, Michael Patrick Sciarra, Charles Mark Williams
  • Patent number: 8949755
    Abstract: A set of nets in an integrated circuit design, having a timing margin and traverse routing tiles, are identified. The set of nets are assigned a utilization metric based on the traversed routing tiles. A set of sparse nets are determined from the set of nets, based on the utilization metric of each net in the set of sparse nets. One or more target nets are selected from the set of sparse nets, based on the timing margin of the target nets. The target nets may be modified.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: February 3, 2015
    Assignee: International Business Machines Corporation
    Inventor: Timothy D. Helvey
  • Patent number: 8943457
    Abstract: An aspect of the present invention replaces memory elements in a scan chain with corresponding new (memory) elements, with each new element having two paths to provide the corresponding data output. One of the two paths is operable to connect the data value to the combinational logic only during a capture phase of said test mode, and the second path is operable to connect the data value to the next element in the chain during a shift phase of said test mode. As a result, unneeded transitions/evaluations in the combinational logic are avoided during shift time, thereby reducing the resource requirements in the corresponding duration. However, the further processes (including various design phases and fabrication) are continued based on the original data (i.e., without the new elements) such that unneeded delays are avoided during the eventual operation in functional mode of the various fabricated IC units.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: January 27, 2015
    Assignee: NVIDIA Corporation
    Inventors: Amit Dinesh Sanghani, Punit Kishore
  • Patent number: 8943448
    Abstract: A hardware model database is identified which stores a graph-based common representation of a hardware design that includes hardware module nodes each representative of a unique module of the hardware design and associated with one or more instances of the unique module. Additionally, a signal dump resulting from a simulation of a logic code model of the hardware design is identified. Each instance of each unique module is identified using the hardware model database, and for each assertion condition included therein, a corresponding value for the assertion condition is determined from the signal dump. Further, a construct of the hardware design corresponding to each instance of each unique module is conditionally displayed by a debugger application, based on the determined values of the corresponding assertion conditions included in the instance of the unique module.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: January 27, 2015
    Assignee: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 8943447
    Abstract: A method is provided for a synthesizing In RTL, a logic circuit and for manufacturing an integrated circuit for performing a sum of addends with faithful rounding. In this, optimization constraints for a value of bits which may be discarded and a constant to include in a sum of addends are determined (20). Next, the maximum number of whole columns that can be removed from the sum of addends array is derived (22) and those columns are discarded (24). Next, a number of bits which can be removed from the least significant column is derived (26) and these bits are discarded (28). The constant is included in the sum of addends and a logic array synthesized in RTL (31) before manufacturing an integrated circuit.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: January 27, 2015
    Assignee: Imagination Technologies, Limited
    Inventors: Theo Alan Drane, Thomas Rose
  • Publication number: 20150020038
    Abstract: A method for programming a cluster-based field programmable gate array (FPGA) device includes providing a netlist and cluster size information, translating the netlist into a hypergraph, partitioning the hypergraph into multiple partitions and optimizing the Rent characteristic, translating the partitions into clusters, placing the clusters on the FPGA device, routing interconnects using a pre-fabricated routing resource on the FPGA device, generating a programming bitstream in response to the placing and routing, and providing the programming bitstream to the FPGA device to realize the user design.
    Type: Application
    Filed: July 10, 2014
    Publication date: January 15, 2015
    Inventors: Wenyi Feng, Jonathan Greene, Kristofer Vorwerk, Val Pevzner, Arunangshu Kundu
  • Patent number: 8935640
    Abstract: Some embodiments provide a method of monitoring the implementation of a user design in a configurable integrated circuit (IC). The method receives a user design for an IC and optimizes the user design to produce a second IC design. The optimization results in the elimination of circuit element(s). The method defines the second IC design for the configurable IC and generates output data for the eliminated circuit element(s) to allow for monitoring the user design.
    Type: Grant
    Filed: April 17, 2013
    Date of Patent: January 13, 2015
    Assignee: Tabula, Inc.
    Inventors: Brad Hutchings, Andrew Caldwell, Steven Teig
  • Patent number: 8930861
    Abstract: A system, method, and computer program product are provided for creating a hardware design. In use, one or more parameters are received, where at least one of the parameters corresponds to an interface protocol. Additionally, a data flow is constructed based on the one or more parameters. Further, an indication of one or more control constructs is received, where a hardware design is capable of being created, utilizing the constructed data flow and the one or more control constructs.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: January 6, 2015
    Assignee: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 8930862
    Abstract: A system, method, and computer program product for converting a design from edge-triggered docking to two-phase non-overlapping clocking is disclosed. The method includes the steps of replacing an edge-triggered flip-flop circuit that is coupled to a combinational logic circuit with a pair of latches including a first latch circuit and a second latch circuit and determining a midpoint of the combinational logic circuit based on timing information. The second latch circuit is propagated to a midpoint of the combinational logic circuit and two-phase non-overlapping clock signals are provided to the pair of latches.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: January 6, 2015
    Assignee: NVIDIA Corporation
    Inventor: William J. Dally
  • Patent number: 8914759
    Abstract: Systems and techniques for creating a circuit abstraction are described. During operation, an embodiment can identify a set of side loads based on a set of timing paths. According to one definition, a side load of a timing path is a circuit element that is not on the timing path (i.e., the timing path does not pass through the circuit element), but whose input is electrically connected to an output of at least one circuit element that is on the timing path. Next, the embodiment can creating the circuit abstraction by retaining circuit elements and nets on each timing path in the set of timing paths, and retaining an identifier for each side load in the set of side loads. The circuit abstraction can then be used to update timing information during one or more stages of an electronic design automation flow.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: December 16, 2014
    Assignee: Synopsys, Inc.
    Inventors: Russell Segal, Peiqing Zou
  • Patent number: 8914762
    Abstract: A method, computer-readable medium and apparatus for creating a platform-specific logic design from an input design are disclosed. For example, a method includes receiving an input design and an identification of a target device. The method next determines an unconnected external interface of the input design and detects an unconnected external interface of the target device. The method then generates an updated design from the input design. The updated design includes the input design and further includes a connection between the unconnected external interface of the input design and the unconnected external interface of the target device.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: December 16, 2014
    Assignee: Xilinx, Inc.
    Inventors: Martin Sinclair, Brian Cotter
  • Patent number: 8910100
    Abstract: The subject system and method are generally directed to the user-friendly insertion of at least one device, and optionally chains of devices, into at least one pre-existing chain of interconnected devices within a graphical representation of a circuit design such as a circuit layout, circuit mask, or a schematic. The system and method provide for discerning the intended insertion points and performing remedial transformations of the devices within the chains to ensure compliance with both structural and operational requirements of the circuit design.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: December 9, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thomas Wilson, Arnold Ginetti, Kenneth Ferguson, Yuan-Kai Pei
  • Patent number: 8910097
    Abstract: Systems and techniques for creating a netlist abstraction are described. During operation, an embodiment can receive a netlist for a circuit design, wherein circuit elements in the circuit design are organized in a logical hierarchy (LH). Next, the embodiment can receive a set of LH nodes in the LH. The embodiment can then create the netlist abstraction by, for each LH node in the set of LH nodes, replacing a portion of the netlist that is below the LH node by a star netlist, wherein the star netlist includes a center object that is electrically connected to a set of satellite objects, wherein each satellite object corresponds to a port of the LH node.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: December 9, 2014
    Assignee: Synopsys, Inc.
    Inventors: Douglas Chang, Balkrishna R. Rashingkar
  • Patent number: 8904325
    Abstract: Aspects of the invention provide for the maintenance of user modified portions of a map between a test bench and a test set generator during an iterative electronic design process. Various implementations of the invention provide for matching sections within a design for an electronic device with corresponding sections in a map between the elements in the design to elements in a graph representation of the design. The matched sections are then compared to determine if any discrepancies exists, such as, for example, if the design has been recently changed. If any discrepancies do exist, then it is determined whether the section of the map can be updated or must be replaced entirely to resolve the discrepancies. Various implementations of the invention provide that the process can be repeated during an iterative design flow such that as the design is modified during the iterative design flow, the map can be updated to reflect the changes.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: December 2, 2014
    Assignee: Mentor Graphics Corporation
    Inventor: Matthew Balance