Buffer Or Repeater Insertion Patents (Class 716/114)
  • Patent number: 11894845
    Abstract: Embodiments of the disclosure provide a structure and related method to delay data signals through a data path using a lockup latch driven by the inverse of a clock signal. A structure according to the disclosure provides a launch pulse latch coupled to a capture pulse latch through a data path. The data path includes a combinational logic for processing signals within the data path. An edge of a clock signal drives the launch pulse latch and the capture pulse latch. A lockup latch is within the data path between the launch pulse latch and the capture pulse latch. An inverse of the clock signal drives the lockup latch.
    Type: Grant
    Filed: August 30, 2022
    Date of Patent: February 6, 2024
    Assignee: GlobalFoundries U.S. Inc.
    Inventors: Navneet K. Jain, Mahbub Rashed
  • Patent number: 11544433
    Abstract: A computer/software tool for electronic design automation (EDA) uses parasitic elements from a post-layout netlist (PLN) file for a given IC design to assess routing-imposed RC-based signal degeneration. The computer/software tool facilitates selection of, and insertion location for, one or more “virtual repeaters,” based on modification to the PLN file. The tool generates a visual display based on the calculated design characteristics, facilitating adjustment and optimization of repeater cell and location by the designer. The repeater insertion is “virtual,” because modeling and adjustment can be based on abstractions (e.g., load capacitance presented by a repeater) and the already-extracted netlist file, and because an actual circuit design need not be created until after a designer has fine-tuned repeater insertion parameters.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: January 3, 2023
    Assignee: Diakopto, Inc.
    Inventors: Maxim Ershov, Andrei Tcherniaev
  • Patent number: 11347906
    Abstract: A method of facilitating simulations of industrial processes is disclosed. The method can be applied to the simulation of hydrocarbon processing, including oil and gas processing and production, refining and petrochemicals processing. The method includes receiving process information defining a process for simulation; creating and storing at least one rule defining a time-dependent property of the process information; and simulating the process based on the received process information under variation of the time-dependent property of the process information. An associated apparatus is also disclosed.
    Type: Grant
    Filed: March 28, 2019
    Date of Patent: May 31, 2022
    Assignee: KBC Advanced Technologies Limited
    Inventors: Michael Robert Aylott, Jason Garrett Durst, Andrew John Howell, Darren O'Neill
  • Patent number: 11321514
    Abstract: Aspects of the present disclosure address systems and methods for clock tree synthesis (CTS). A first iteration of CTS is performed to generate an intermediate clock tree for an integrated circuit (IC) design that includes one or more macros. Target pin insertion delays (PIDs) for the one or more macros are computed based on the intermediate clock tree using a linear program. A second iteration of CTS is performed using the target PIDs for the one or more macros to generate an optimized clock tree for the IC design.
    Type: Grant
    Filed: December 31, 2020
    Date of Patent: May 3, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dirk Meyer, Ben Thomas Beaumont, Zhuo Li
  • Patent number: 11314912
    Abstract: An IC design data base generating method, including: receiving a condition parameter, which comprises a process parameter and an operating parameter range comprising at least one operating parameter; and testing at least one cell according to the process parameter and the operating parameter range to generate a delay value data base. The delay value data base comprises a plurality of delay values, wherein the plurality of delay values for an identical cell correspond to the operating parameter range with an identical type but different value. An IC design method using the delay value data base is also disclosed.
    Type: Grant
    Filed: April 30, 2020
    Date of Patent: April 26, 2022
    Assignee: Realtek Semiconductor Corp.
    Inventors: Szu-Ying Huang, Mei-Li Yu, Yu-Lan Lo, Shu-Yi Kao
  • Patent number: 11227093
    Abstract: A method of forming a semiconductor device includes: providing a first circuit having a plurality of circuit cells; analyzing a loading capacitance on a first pin cell connecting a first circuit cell and a second circuit cell in the plurality of circuit cells to determine if the loading capacitance of the first pin cell is larger than a first predetermined capacitance; replacing the first pin cell by a second pin cell for generating a second circuit when the loading capacitance is larger than the first predetermined capacitances, wherein the second pin cell is different from the first pin cell; and generating the semiconductor device according to the second circuit.
    Type: Grant
    Filed: January 25, 2021
    Date of Patent: January 18, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Kuo-Nan Yang, Wan-Yu Lo, Chung-Hsing Wang, Hiranmay Biswas
  • Patent number: 11181579
    Abstract: A system for performing a scan test of a processor core includes a scan test module and a processor including a processor core and an input/output die, where the input/output die is coupled to the processor core. The scan test module transmits, in parallel to the input/output die, scan test input data. A serializer/deserializer module of the input/output die receives the input data, serializes the input data, and transmits the serialized input data to the processor core. A serializer/deserializer module of the processor core receives the serialized scan test input data, deserializes the input data, receives result data generated in dependence upon the input data, serializes the result data, and transmits the serialized result data to the input/output die. The input/output die serializer/deserializer module receives the result data, deserializes the result data, and provides the result data to the scan test module. Error detection can be carried out through redundancy.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: November 23, 2021
    Assignee: ADVANCED MICRO DEVICES, INC.
    Inventors: Ahmet Tokuz, Saurabh Upadhyay
  • Patent number: 11144703
    Abstract: A computerized system is disclosed. The computerized system may include one or more processors configured to perform the operations stored in a memory. The operations may include creating a plurality of library (lib) cells for a directional routing layer, and determining a lib cell of the plurality of lib cells for placement of at least one repeater for the directional routing layer. The operations may also include determining a route touch region corresponding to a pin region of the lib cell through which a route is going through and inserting the at least one repeater at the route touch region. The operations may also include swapping the at least one inserted repeater to at least one target lib cell of the plurality of lib cells.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: October 12, 2021
    Assignee: Synopsys, Inc.
    Inventors: Kai-Ping Wang, Haiying Liu
  • Patent number: 11144688
    Abstract: A computer/software tool for electronic design automation (EDA) uses parasitic elements from a post-layout netlist (PLN) file for a given IC design to assess routing-imposed RC-based signal degeneration. The computer/software tool facilitates selection of, and insertion location for, one or more “virtual repeaters,” based on modification to the PLN file. The tool generates a visual display based on the calculated design characteristics, facilitating adjustment and optimization of repeater cell and location by the designer. The repeater insertion is “virtual,” because modeling and adjustment can be based on abstractions (e.g., load capacitance presented by a repeater) and the already-extracted netlist file, and because an actual circuit design need not be created until after a designer has fine-tuned repeater insertion parameters.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: October 12, 2021
    Assignee: Diakopto, Inc.
    Inventors: Maxim Ershov, Andrei Tcherniaev
  • Patent number: 11144700
    Abstract: Route segments of a set of nets may be grouped into route groups. Terminals of the set of nets may be grouped into terminal groups. For each net in the set of nets, a net signature may be determined based on route groups associated with the net and terminal groups associated with the net. The set of nets may be grouped into net groups based on the net signatures.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: October 12, 2021
    Assignee: Synopsys, Inc.
    Inventors: Kai-Ping Wang, Iris E. Chen
  • Patent number: 11063837
    Abstract: Techniques for optimizing network traffic distribution functions at network elements are described. As described, a network element provides information about network traffic distribution at the network element to a network controller. The network controller determines optimized network control parameters using machine learning that when implemented at the network element, redistributes network traffic over various network resources.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: July 13, 2021
    Assignee: Cisco Technology, Inc.
    Inventors: Prathamesh R. Karve, Raj N. Samant, Sumant S. Mali, Raveendra K. Karkala, Gokul Bhoothanathan Kailasanatha Subramania
  • Patent number: 11044163
    Abstract: Methods for identifying in range endpoints in a network are provided. The methods includes providing a map including endpoints in the network, the endpoints including target endpoints, out of range endpoints and non-out of range endpoints; positioning a grid over the map including the endpoints in the network, the grid including a plurality of subsections each having a defined radius, locating a target endpoint on the map and in one of the subsections of the grid; and identifying a plurality of endpoints within subsections of the grid within a defined range of the target endpoint.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: June 22, 2021
    Assignee: Sensus Spectrum, LLC
    Inventor: Brian O'Keefe
  • Patent number: 11030376
    Abstract: Techniques for net routing for an integrated circuit (IC) design are described herein. An aspect includes receiving a netlist corresponding to a net in an IC design. Another aspect includes identifying intermediate logic in the net, wherein the intermediate logic is connected between a source and a sink of the net, and wherein the sink is located downstream from the source in the IC design. Another aspect includes hiding the intermediate logic from the netlist. Another aspect includes creating a global route in the IC design between the source and the sink of the net without the intermediate logic. Another aspect includes restoring the intermediate logic to the netlist. Another aspect includes placing the intermediate logic along the global route.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: June 8, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jose Neves, Adam Matheny
  • Patent number: 10990721
    Abstract: Electronic design automation systems, methods, and media are presented for cell cloning during circuit design. In one embodiment, for a circuit design comprising a plurality of flip-flop elements having clock inputs provided by a routing tree, a delay is identified for each flip-flop element. The flip-flop elements are clustered by delay to generate at least two clusters of flip-flop elements. Elements within the clusters are then grouped by physical characteristics to generate delay groups of flip-flop elements. An updated routing tree is then generated for the circuit design using the first delay group and the second delay group.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: William Robert Reece, Thomas Andrew Newton, Zhuo Li
  • Patent number: 10963620
    Abstract: Aspects of the present disclosure address improved systems and methods for buffer insertion in an integrated circuit (IC) design using a cost function that accounts for edge spacing and stack via constraints associated with cells in the IC design. An integrated circuit (IC) design comprising a routing topology for a net is accessed. A set of candidate insertion locations along the routing topology are identified. A set of buffering candidates is generated based on the candidate insertion locations. A buffering candidate comprises a cell inserted at a candidate insertion location along the routing topology. A cost associated with the buffering candidate is determined based on a number of potential edge spacing conflicts and a number of stack vias associated with the cell. A buffering solution for the net is selected from the buffering candidate based on the cost associated with the buffering candidate.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: March 30, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yi-Xiao Ding, Jhih-Rong Gao, Zhuo Li
  • Patent number: 10952328
    Abstract: A system and a method for allowing users to quickly and cost-effectively design and make functional circuit boards, without the need for the users to have any specialized education or training. The system allows users to define a footprint for the circuit board to be designed. The system maintains information associated with each of the circuit board components and uses this information to help users determine where to place the components, add ancillary components, and make connections between these components.
    Type: Grant
    Filed: November 8, 2018
    Date of Patent: March 16, 2021
    Assignee: Patchr, Inc.
    Inventor: Eric Schneider
  • Patent number: 10929589
    Abstract: Various embodiments provide for generating a routing structure for a clock network based on edge interaction detection, which can facilitate detection/consideration of overuse of routing resources to a balanced routing structure and which may be part of electronic design automation (EDA) of a circuit design. For example, some embodiments use an edge intersection check to detect overuse of routing resources within the routing structure for a clock network.
    Type: Grant
    Filed: March 19, 2020
    Date of Patent: February 23, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dirk Meyer, Zhuo Li
  • Patent number: 10922464
    Abstract: Fabricating a first semiconductor device cell using a first process based on a first process parameter or material comprises extracting semiconductor device parameters from the first process parameters to obtain extracted semiconductor device parameters of a first semiconductor device cell. The fabrication process includes training an artificial intelligence to obtain a predictive artificial intelligence using training data as input, the training data comprising the extracted semiconductor device cell parameters and the first process parameter or material. A proposed process modification is provided to the predictive artificial intelligence to generate a predicted cell delay by the predictive artificial intelligence. The predicted cell delay is evaluated against a cell delay threshold. When the predicted cell delay satisfies the cell delay threshold, a new semiconductor device cell is fabricated using a modified process incorporating the proposed process modification.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: February 16, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Hui-I Wu, Ke-Ying Su, Wan-Ting Lo, Niranjan Vepuri, Hsiang-Ho Chang
  • Patent number: 10922470
    Abstract: A method of forming a semiconductor device includes: providing a first circuit having a plurality of circuit cells; analyzing a loading capacitance on a first pin cell connecting a first circuit cell and a second circuit cell in the plurality of circuit cells to determine if the loading capacitance of the first pin cell is larger than a first predetermined capacitance; replacing the first pin cell by a second pin cell for generating a second circuit when the loading capacitance is larger than the first predetermined capacitance, wherein the second pin cell is different from the first pin cell; and generating the semiconductor device according to the second circuit.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: February 16, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Kuo-Nan Yang, Wan-Yu Lo, Chung-Hsing Wang, Hiranmay Biswas
  • Patent number: 10902178
    Abstract: Methods, systems and computer program products for providing wire orientation-based latch shuddling are provided. Aspects include determining a classification of each latch of a plurality of latches as having a vertical orientation, a horizontal orientation or a mixed orientation. Aspects also include clustering the plurality of latches into one or more clusters based on the classifications of the plurality of latches. Each of the one or more clusters includes a unique set of latches of the plurality of latches. Aspects also include shuddling each of the one or more clusters around a local clock buffer within a layout. Each cluster of the one or more clusters is shuddled in a configuration around the local clock buffer based on the classifications of the corresponding unique set of latches of the plurality of latches.
    Type: Grant
    Filed: September 10, 2019
    Date of Patent: January 26, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jesse Surprise, Gerald Strevig, III, Shawn Kollesar
  • Patent number: 10885245
    Abstract: A system to develop an integrated circuit includes a latch identifier module to identify a first child latch placed at a first location in a first child macro of a parent macro and a second child latch placed at a second location in a second child macro of the parent macro. The second child latch is located away from the first child latch. The system further includes a latch location optimization module and a latch placement module. The latch location optimization module determines a target timing parameter threshold and determines a first actual timing parameter. The latch placement module changes the first location of the first child latch and/or the second location of the second child latch to generate an optimized parent macro based on the changed locations. The latch location optimization module re-executes the latch optimization process to determine a second actual timing parameter based on the changed locations.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: January 5, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Frank Musante, Nathaniel Hieter, Alexander Suess, Ofer Geva
  • Patent number: 10878166
    Abstract: Techniques and systems for inserting repeaters in an integrated circuit (IC) design are described. Some embodiments can place a snapping region in the IC design, wherein the snapping region includes a predetermined arrangement of feasible grid regions and blocked grid regions, and wherein repeaters are allowed to be placed in feasible grid regions but not in blocked grid regions. Next, the embodiments can iteratively perform a set of operations, comprising: selecting a net from a set of nets; determining an initial location for inserting a repeater in the net; identifying an unoccupied feasible grid region in the first snapping region that is closest to the initial location; and inserting a repeater in the net in the unoccupied feasible grid region.
    Type: Grant
    Filed: October 7, 2019
    Date of Patent: December 29, 2020
    Assignee: Synopsys, Inc.
    Inventors: Kai-Ping Wang, Haiying Liu
  • Patent number: 10860764
    Abstract: Aspects of the present disclosure address improved systems and methods for layer assignment to improve timing in integrated circuit (IC) designs. An initial placement layout of a net of an IC design is accessed. A plurality of buffer insertion candidates is generated using multiple candidate buffer insertion points and multiple layer assignments from among multiple layers of the IC design. Timing characteristics of each buffer insertion candidate are determined, and timing improvements provided by each buffer insertion candidate are determined based on respective timing characteristics. A buffer insertion candidate is selected from the plurality of buffer insertion candidates based on the timing improvement provided by the buffer insertion candidate. A layout instance for the IC is generated based in part on the selected buffer insertion candidate.
    Type: Grant
    Filed: December 31, 2019
    Date of Patent: December 8, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yi-Xiao Ding, Jhih-Rong Gao, Zhuo Li
  • Patent number: 10839123
    Abstract: Systems and methods are provided for simulating an integrated circuit system. A file representative of an integrated circuit design is received, the integrated circuit design including a plurality of cells and characteristics of power supply and ground paths to each cell. A vulnerable cell of the integrated circuit design based on a vulnerability metric of the vulnerable cell. A power analysis of a portion of the integrated circuit design is performed to determine a plurality of power and ground levels within a timing window for each of a plurality of cells including the vulnerable cell. A timing analysis of the vulnerable cell is performed, where the timing analysis receives a single power level and single ground level for the vulnerable cell and determines a slack level for the vulnerable cell. An at risk path is identified based on the vulnerable cell slack level, and a dynamic power/ground simulation of one or more cells in the at risk path is performed.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: November 17, 2020
    Assignee: Ansys, Inc.
    Inventors: Joao Geada, Nick Rethman, Ankur Gupta
  • Patent number: 10805207
    Abstract: A method for determining an optimal path arrangement of an infrastructure link network, and a related system for performing the method. The method includes modelling a geographic terrain having a plurality of geographic locations to be connected with each other via an infrastructure link network; modelling each of a laying cost and a repair rate as a respective function affecting the optimal path arrangement of the infrastructure link network; applying a respective weighting to each of the functions to determine a minimized cost function; and determining, based on the determined minimized cost function, the optimal path arrangement connecting the plurality of geographical locations. The determined optimal path arrangement of the infrastructure link network includes a trunk-and-branch topology with a plurality of infrastructure links and one or more connection points connecting the infrastructure links.
    Type: Grant
    Filed: February 1, 2019
    Date of Patent: October 13, 2020
    Assignee: City University of Hong Kong
    Inventors: Moshe Zukerman, Zengfu Wang, Qing Wang, William Moran
  • Patent number: 10776558
    Abstract: A testing method includes the following operations: performing a place and route procedure according to a netlist file corresponding to a chip to generate first layout data; determining whether to replace a flip-flop circuit in the chip with a gated flip-flop circuit according to the first layout data to generate second layout data; and running a test on the chip according to the second layout data.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: September 15, 2020
    Assignee: Global Unichip (Nanjing) Ltd.
    Inventors: Shih-Hsin Chen, Te-Hsun Fu, Ming-Tung Chang
  • Patent number: 10706202
    Abstract: Systems, methods, media, and other such embodiments described herein relate to generation of routing trees. One embodiment involves accessing a circuit design with a source and a plurality of sinks, and then using a first bottom-up wavefront analysis to select branch point candidates for the sinks. A branch point cost function is used to select among the branch point candidates. This process may be repeated until a final tier of analysis results in a final wavefront that is within a threshold distance of the source. The selected branch points are then used in generating a routing tree between the source and the sinks. In various different embodiments, different cost point functions may be used, and different operations used to manage obstructions or other specific routing considerations.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: July 7, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dirk Meyer, Zhuo Li
  • Patent number: 10706208
    Abstract: A dynamic memory management method for layout verification tools that maximizes main memory usage and minimizes required disk storage capacity. Layout data generated during each given geometric operation is retained in main memory at the end of the given geometric operation. At the beginning of each new (current) geometric operation, an estimated amount of main memory required to perform the current geometric operation at peak processing speed is determined. When insufficient available main memory is available, a Central Balancer Module determines whether previously generated layout data can be moved from main memory to disk storage. Layout data file(s) are then selected based on minimizing the amount of transferred layout data needed to provide the required estimated amount. A Distributed File Manager then transfers the selected layout data file(s) from main memory to disk storage, thereby facilitating execution of the current geometric operation at peak operating speed.
    Type: Grant
    Filed: August 16, 2019
    Date of Patent: July 7, 2020
    Assignee: Synopsis, Inc.
    Inventors: Hongchuan Li, Aydin Osman Balkan
  • Patent number: 10671781
    Abstract: A method for designing a system on a target device includes identifying a timing exception for a portion of a signal path. An area on the target device that includes components affected by the timing exception. Register retiming is performed where pipeline registers are added at boundaries of the area.
    Type: Grant
    Filed: April 11, 2018
    Date of Patent: June 2, 2020
    Assignee: Altera Corporation
    Inventors: Salem Derisavi, Gordon Raymond Chiu, Benjamin Gamsa, David Ian M. Milton
  • Patent number: 10657302
    Abstract: The present embodiments relate to buffering signals between disjointed power domains with similar power profiles in an integrated circuit. According to some aspects, embodiments relate to a method in which an electronic design automation (EDA) tool displays a schematic including a plurality of first power domains having a first power profile and a plurality of second power domains having a second power profile. The EDA tool generates graph including a plurality of points and a plurality of edges connecting the points, where the points are located on the plurality of second power domains. The EDA tool selects one route from a plurality of routes from a start node on the graph to an end node on the graph and determines a number of buffers located on the route based on associated distance values and a design violation values.
    Type: Grant
    Filed: April 23, 2018
    Date of Patent: May 19, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Xavier Devyldere, Arnaud Pedenon, Francois Silve
  • Patent number: 10614261
    Abstract: Aspects of the present disclosure address systems and methods for dynamically adjusting skew windows during clock tree synthesis (CTS). A method may include identifying a pin insertion delay (PID) assigned to a clock sink in a set of clock sinks of a buffer tree in an integrated circuit design. The method further includes determining a skew window for the clock sink based on a skew target and adjusting the skew window based on identifying the PID assigned to the clock sink. The skew window is adjusted based on a skew adjustment parameter. The method further includes building a clock tree based on the buffer tree and the adjusted skew window. The building of the clock tree comprises tuning a clock path delay of the clock sink according to the adjusted skew window. A layout instance may be generated for the IC design based in part on the clock tree.
    Type: Grant
    Filed: February 5, 2019
    Date of Patent: April 7, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Michael Alexander, Kwangsoo Han, Zhuo Li
  • Patent number: 10565339
    Abstract: A method for designing a logic circuit includes providing an initial design of the logic circuit, including at least first and second logic stages, and a sequential component, which is inserted between the first and second logic stages and comprises a flip-flop or a latch. Timing delays of multiple paths in the initial design, including at least one path in which the sequential component is bypassed, are estimated. Based on the timing delays, a decision is made whether the paths in which the sequential component is bypassed meet a timing constraint set for the logic circuit. A final design of the logic circuit is then generated, in which the sequential component is either bypassed or not bypassed, depending on the decision.
    Type: Grant
    Filed: July 18, 2018
    Date of Patent: February 18, 2020
    Assignee: MELLANOX TECHNOLOGIES, LTD.
    Inventors: Uria Basher, Anton Rozen
  • Patent number: 10515172
    Abstract: Fabricating a first semiconductor device cell using a first process based on a first process parameter or material comprises extracting semiconductor device parameters from the first process parameters to obtain extracted semiconductor device parameters of a first semiconductor device cell. The fabrication process includes training an artificial intelligence to obtain a predictive artificial intelligence using training data as input, the training data comprising the extracted semiconductor device cell parameters and the first process parameter or material. A proposed process modification is provided to the predictive artificial intelligence to generate a predicted cell delay by the predictive artificial intelligence. The predicted cell delay is evaluated against a cell delay threshold. When the predicted cell delay satisfies the cell delay threshold, a new semiconductor device cell is fabricated using a modified process incorporating the proposed process modification.
    Type: Grant
    Filed: October 15, 2018
    Date of Patent: December 24, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Hui-I Wu, Ke-Ying Su, Wan-Ting Lo, Niranjan Vepuri, Hsiang-Ho Chang
  • Patent number: 10509879
    Abstract: An optimum stage number calculation method executed by a processor, the optimum stage number calculation method includes extracting information on a signal path between a transmission cell and a reception cell that transmits and receives a signal according to a clock from net information indicating a connection relationship between a plurality of cells arranged and wired in a field programmable gate array, estimating a cell total delay amount indicating a total delay amount of cells allowed to be included in one period of the clock in the signal path from input information including at least clock period information indicating a length of one period of the clock, calculating the number of stages of logic cells included in the signal path from the cell total delay amount, and outputting number-of-stages information indicating the calculated number of stages of the logic cells.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: December 17, 2019
    Assignee: FUJITSU LIMITED
    Inventor: Michitaka Hashimoto
  • Patent number: 10503857
    Abstract: A computer executing method is provided in this disclosure. The computer executing method is configured for synthesizing a clock tree circuit, the clock tree circuit includes a plurality of clock pins, a plurality of weight values are set between any of the clock pins, the computer executing method includes steps of: establishing a graph model; utilizing a force directed algorithm to calculate a branch position according to the weight values and a position of the clock pins; setting a guide buffer in the branch position and updating a netlist; performing a clock tree synthesis (CTS) and executing a post-CTS static timing analysis (STA); determining whether an analysis result of the post-CTS STA and a timing setup value is identical or not; and if the analysis result does not match the timing setup value, re-establishing a graph model.
    Type: Grant
    Filed: April 19, 2018
    Date of Patent: December 10, 2019
    Assignees: GLOBAL UNICHIP CORPORATION, TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yih-Chih Chou, Cheng-Hong Tsai, Chih-Mou Tseng
  • Patent number: 10503841
    Abstract: Optimizing timing in a VLSI circuit by generating a set of buffer solutions and determining a most critical delay and a sum of critical delays for each solution in the set of solutions. Quantifying a relationship between the most critical delay and the sum of critical delays for each solution. Comparing each solution's quantified relationship to the quantified relationship of each other solution in the set of solutions. Identifying, based on the comparing of each solution's relationship to the relationship of each other solution in the set of solutions, at least one solution in the set of solutions to have a worse relationship between the most critical delay and the sum of critical delays than the other solutions in the set of solutions. Pruning the at least one solution from the set of solutions.
    Type: Grant
    Filed: May 28, 2019
    Date of Patent: December 10, 2019
    Assignee: International Business Machines Corporation
    Inventors: Ying Zhou, Stephen T. Quay, Lakshmi N. Reddy, Gustavo E. Tellez, Gi-Joon Nam, Jiang Hu
  • Patent number: 10496764
    Abstract: Optimizing timing in a VLSI circuit by generating a set of buffer solutions and determining a most critical delay and a sum of critical delays for each solution in the set of solutions. Quantifying a relationship between the most critical delay and the sum of critical delays for each solution. Comparing each solution's quantified relationship to the quantified relationship of each other solution in the set of solutions. Identifying, based on the comparing of each solution's relationship to the relationship of each other solution in the set of solutions, at least one solution in the set of solutions to have a worse relationship between the most critical delay and the sum of critical delays than the other solutions in the set of solutions. Pruning the at least one solution from the set of solutions.
    Type: Grant
    Filed: May 28, 2019
    Date of Patent: December 3, 2019
    Assignee: International Business Machines Corporation
    Inventors: Ying Zhou, Stephen T. Quay, Lakshmi N. Reddy, Gustavo E. Tellez, Gi-Joon Nam, Jiang Hu
  • Patent number: 10460063
    Abstract: Aspects of the present disclosure address improved systems and methods for routing based on enhanced routing topologies. Consistent with some embodiments, the method may include accessing a routing topology of an integrated circuit design and determining that a routing path of a net in the routing topology violates a routing constraint. In response to determining that the routing path violates the routing constraint, a routing guide is created to reroute the routing path. The routing path in the net is then rerouted using the routing guide, thereby producing an enhanced routing topology that reduces issues in detailed routing caused by the routing constraint violation.
    Type: Grant
    Filed: July 13, 2017
    Date of Patent: October 29, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Wen-Hao Liu, Gracieli Posser, Wing-Kai Chow, Mehmet Can Yildiz, Zhuo Li
  • Patent number: 10452801
    Abstract: A routing specification is received for nets of an integrated circuit connecting source cells and sink cells in the integrated circuit. A target performance parameter is received for each of the nets, the target performance parameters specifying a propagation property of electrical signals in the nets. Layouts of the nets are generated according to the routing specification. An actual performance parameter for each of the nets in the layouts is generated, in which the actual performance parameters specify a calculated actual propagation property of electrical signals in the nets. A deviation parameter is generated for each of the performance parameters. Each of the deviation parameters is indicative of a degree of deviation of the respective actual performance parameter from its target performance parameter.
    Type: Grant
    Filed: November 14, 2015
    Date of Patent: October 22, 2019
    Assignee: International Business Machines Corporation
    Inventors: Manuel Beck, Sven Peyer, Christian Schulte, Wolfram Ziegler
  • Patent number: 10452800
    Abstract: A routing specification is received for nets of an integrated circuit connecting source cells and sink cells in the integrated circuit. A target performance parameter is received for each of the nets, the target performance parameters specifying a propagation property of electrical signals in the nets. Layouts of the nets are generated according to the routing specification. An actual performance parameter for each of the nets in the layouts is generated, in which the actual performance parameters specify a calculated actual propagation property of electrical signals in the nets. A deviation parameter is generated for each of the performance parameters. Each of the deviation parameters is indicative of a degree of deviation of the respective actual performance parameter from its target performance parameter.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: October 22, 2019
    Assignee: International Business Machines Corporation
    Inventors: Manuel Beck, Sven Peyer, Christian Schulte, Wolfram Ziegler
  • Patent number: 10423743
    Abstract: A method for optimizing a circuit design includes computing clock latency estimates for a set of sequential circuit elements, modifying the clock latency estimates based on relative optimizability of (1) a set of input data paths that are electrically coupled to one or more inputs of the sequential circuit element and (2) a set of output data paths that are electrically coupled to one or more outputs of the sequential circuit element, and optimizing the circuit design based on the modified clock latencies.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: September 24, 2019
    Assignee: Synopsys, Inc.
    Inventor: Joseph R. Walston
  • Patent number: 10416232
    Abstract: Implementing a circuit design may include detecting, using computer hardware, a net of the circuit design with a hold timing violation, generating, using the computer hardware, a list including each load of the net, and filtering, using the computer hardware, the list based on predetermined criteria by, at least in part, removing each load from the list determined to be non-critical with respect to hold timing. Using the computer hardware, the circuit design is modified by inserting a flip-flop in the net to drive each load remaining on the list, clocking the flip-flop with a clock signal of a start point or an end point of a path traversing the net, and triggering the flip-flop with an opposite clock edge compared to the start point or the end point.
    Type: Grant
    Filed: June 19, 2018
    Date of Patent: September 17, 2019
    Assignee: XILINX, INC.
    Inventors: Guenter Stenz, Parivallal Kannan
  • Patent number: 10380306
    Abstract: An integrated circuit designing system includes a non-transitory storage medium that is encoded with first and second sets of standard cell layouts that are configured for performing a selected function and which correspond to a specific manufacturing process. The manufacturing process is characterized by a nominal minimum pitch (T) for metal lines with each of the standard cell layouts being characterized by a cell height (H) that is a non-integral multiple of the nominal minimum pitch. The system also includes a hardware processor coupled to the storage medium for executing a set of instructions for generating an integrated circuit layout utilizing a combination of the first and second set of standard cell layouts and the nominal minimum pitch. The first and second sets of standard layouts are related in that each of the second set of standard cell layouts corresponds to a transformed version of a corresponding standard cell layout from the first set of standard cell layouts.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: August 13, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shang-Chih Hsieh, Hui-Zhong Zhuang, Ting-Wei Chiang, Chun-Fu Chen, Hsiang-Jen Tseng
  • Patent number: 10372836
    Abstract: Optimizing timing in a VLSI circuit by generating a set of buffer solutions and determining a most critical delay and a sum of critical delays for each solution in the set of solutions. Quantifying a relationship between the most critical delay and the sum of critical delays for each solution. Comparing each solution's quantified relationship to the quantified relationship of each other solution in the set of solutions. Identifying, based on the comparing of each solution's relationship to the relationship of each other solution in the set of solutions, at least one solution in the set of solutions to have a worse relationship between the most critical delay and the sum of critical delays than the other solutions in the set of solutions. Pruning the at least one solution from the set of solutions.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: August 6, 2019
    Assignee: International Business Machines Corporation
    Inventors: Ying Zhou, Stephen T. Quay, Lakshmi N. Reddy, Gustavo E. Tellez, Gi-Joon Nam, Jiang Hu
  • Patent number: 10354040
    Abstract: Various embodiments provide for generation of a clock tree for a circuit design using a mix of a set of buffers and a set of inverters. Some embodiments balance use of buffers and inverters such that the generated clock tree leverages buffers to lower driver count and clock tree, and leverages inverters for lower power usage and duty cycles.
    Type: Grant
    Filed: August 14, 2017
    Date of Patent: July 16, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Amin Farshidi, Thomas Andrew Newton, Zhuo Li, Charles Jay Alpert
  • Patent number: 10318683
    Abstract: A system and method to generate a clock domain-independent abstract of a component in an integrated circuit design. The method includes performing an initial analysis of the component using an initial clock value for each clock domain type, the clock domain types including a functional clock and a test clock, executing an abstractor to obtain a reduced order model of the initial analysis as a clock domain-dependent abstract, and obtaining original constraints associated with one or more circuit elements within the component from the clock domain-dependent abstract. Generating generalized constraints is based on clock domain-dependent constraints among the original constraints, and generating the clock domain-independent abstract is based on the generalized constraints. The method also includes obtaining a physical implementation based on one or more analyses using the clock domain-independent abstract.
    Type: Grant
    Filed: May 20, 2016
    Date of Patent: June 11, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Naiju K. Abdul, Adil Bhanji, Jack DiLullo, Kerim Kalafala, Jeremy J. Leitzen, Manish Verma
  • Patent number: 10303812
    Abstract: Embodiments presented herein provide techniques for predicting the topography of a product produced from a manufacturing process. One embodiment includes generating a plurality of prediction models. Each of the plurality of prediction models corresponds to a respective one of a plurality of positional coordinates of a product produced from a manufacturing process. The method also includes receiving a set of user-specified input parameters to apply to the manufacturing control process. The method further includes generating a graphical representation of a topography map for the product for the user-specified of input parameters based on the plurality of prediction models.
    Type: Grant
    Filed: August 8, 2016
    Date of Patent: May 28, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Jimmy Iskandar, Chong Jiang, Michael D. Armacost, Bradley D. Schulze
  • Patent number: 10303829
    Abstract: A method of electrical device manufacturing that includes measuring a first plurality of dimensions and electrical performance from back end of the line (BEOL) structures; and comparing the first plurality of dimensions with a second plurality of dimensions from a process assumption model to determine dimension variations by machine vision image processing. The method further includes providing a plurality of scenarios for process modifications by applying machine image learning to the dimension variations and electrical variations in the in line electrical measurements from the process assumption model. The method further includes receiving production dimension measurements and electrical measurements at a manufacturing prediction actuator. The at least one of the dimensions or electrical measurements received match one of the plurality of scenarios the manufacturing prediction actuator using the plurality of scenarios for process modifications effectuates a process change.
    Type: Grant
    Filed: May 31, 2017
    Date of Patent: May 28, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Prasad Bhosale, Michael Rizzolo, Chih-Chao Yang
  • Patent number: 10296691
    Abstract: Disclosed is a system, computer program product, and method for performing logic, physical synthesis, and post-route optimization. The method begins with identifying a plurality of groups of paths in a circuit by a unique criteria. The unique criteria is any one of a netlist regular expression, a cell topology regular expression, a physical structure, or a combination thereof. An optimization process is performed on the design and is repeated until the cumulative histogram corresponds to the reference histogram within a threshold. The histogram optimization on the group of paths to make the cumulative histogram correspond to the reference cumulative histogram can be adjusted to account for timing, power, yield, or a combination thereof. After a first group of paths has been optimized, the process can be repeated for other groups of paths. The histogram optimization performed on each group of paths is merged into overall histogram optimization design.
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: May 21, 2019
    Assignee: International Business Machines Corporation
    Inventors: Robert Louis Franch, George Diedrich Gristede, Matthew Mantell Ziegler
  • Patent number: 10296690
    Abstract: Methods and systems for optimizing and/or designing integrated circuits. In one embodiment, a method for dynamically routing a net from equivalent resources is described, comprising identifying a critical load, determining whether a driver driving the critical load drives other components, and whether the critical load requires an improvement in slack, replicating the driver, to create a replicated driver, when the critical load requires an improvement in slack, coupling the replicated driver to the load; and tagging the replicated driver.
    Type: Grant
    Filed: December 8, 2015
    Date of Patent: May 21, 2019
    Assignee: Synopsys, Inc.
    Inventors: Jovanka Ciric Vujkovic, Kenneth S. McElvain