For Plds Patents (Class 716/121)
  • Patent number: 11811683
    Abstract: VLSI layouts of generalized multi-stage and pyramid networks for broadcast, unicast and multicast connections are presented using only horizontal and vertical links with spacial locality exploitation. The VLSI layouts employ shuffle exchange links where outlet links of cross links from switches in a stage in one sub-integrated circuit block are connected to inlet links of switches in the succeeding stage in another sub-integrated circuit block so that said cross links are either vertical links or horizontal and vice versa. Furthermore the shuffle exchange links are employed between different sub-integrated circuit blocks so that spatially nearer sub-integrated circuit blocks are connected with shorter links compared to the shuffle exchange links between spatially farther sub-integrated circuit blocks. In one embodiment the sub-integrated circuit blocks are arranged in a hypercube arrangement in a two-dimensional plane.
    Type: Grant
    Filed: September 6, 2022
    Date of Patent: November 7, 2023
    Assignee: Konda Technologies Inc.
    Inventor: Venkat Konda
  • Patent number: 11683040
    Abstract: This invention comprises an integrated circuit in CMOS technology which can act as a regular sequential logic latch, having one data signal input, or as a voting latch, having three data signal inputs. The circuit schematic of this integrated circuit is such that it allows for a certain placement of the devices in the physical, manufactured integrated circuit that makes it possible to optimize the arrangement of the n-type MOSFET devices and p-type MOSFET devices in the circuit independently, using the Layout Optimization through Error Aware Positioning (LEAP), and thereby to remove, or reduce, the occurrence of radiation generated soft errors.
    Type: Grant
    Filed: April 18, 2022
    Date of Patent: June 20, 2023
    Inventor: Klas Olof Lilja
  • Patent number: 11494322
    Abstract: A method of operation of a computing system includes: providing a first cluster having a first kernel unit for managing a first reconfigurable hardware device; analyzing an application descriptor associated with an application; generating a first bitstream based on the application descriptor for loading the first reconfigurable hardware device, the first bitstream for implementing at least a first portion of the application; and implementing a first fragment with the first bitstream in the first cluster.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: November 8, 2022
    Assignee: Xcelemor, Inc.
    Inventor: Peter J. Zievers
  • Patent number: 11477111
    Abstract: A method for setting up forwarding tables is described. A USAT part for a node is received. The USAT part includes glow definitions and a FGPL. Each glow describes network traffic flows and role instructions for the flows. Each FGP describes a role for the switching node; a validity rule; and relevant network topology. The method also includes determining a selected active FGP in the FGPL using the validity rule for the FGP, a network state and the ordering of the FGPs; initializing the glows, requesting a role identification to perform based on the selected FGP, determining the role instructions and instructing the TMS to update tables accordingly; and storing entries in software tables based on glows and the role instructions for the identified role, dynamically resolving conflicts among entries, and granting table updates to hardware tables. The tables include a software table for each hardware memory for forwarding packets.
    Type: Grant
    Filed: July 1, 2021
    Date of Patent: October 18, 2022
    Assignee: Hewlett Packard Enterprise Development LP
    Inventors: Richard A. Barry, Lei Zhang
  • Patent number: 11397655
    Abstract: The abnormality diagnosis system detects a failure sign of a device to be diagnosed. The abnormality diagnosis system includes: a diagnosis process search unit which searches for a suitable diagnosis processing procedure by comparing a plurality of diagnosis processing procedures, and outputs reconfiguration information corresponding to the suitable diagnosis processing procedure; and a diagnosis processing unit which has a reconfigurable processing unit and which uses the suitable diagnosis processing procedure found by the diagnosis process search unit to detect a failure sign of the device to be diagnosed by reconfiguring the processing unit on the basis of the reconfiguration information.
    Type: Grant
    Filed: February 24, 2017
    Date of Patent: July 26, 2022
    Assignee: HITACHI, LTD.
    Inventors: Munetoshi Unuma, Junsuke Fujiwara
  • Patent number: 11023643
    Abstract: A method includes retrieving an interactive datasheet for a product and displaying, on an output device, a first view of the interactive datasheet for the product, including a first section and a second section. The method also includes adjusting, in response to receiving, by an input device of the computing device from a user, a first value of a characteristic of the product to produce a first adjusted characteristic, and updating a model of the product, based on the first value of the characteristic of the product, to produce an updated interactive datasheet for the product. Additionally, the method includes updating the first view of the interactive datasheet for the product displayed on the output device, the first view, based on the updated interactive datasheet for the product and storing, in the memory, the updated interactive datasheet for the product, in response to receiving an indication by the user.
    Type: Grant
    Filed: November 21, 2019
    Date of Patent: June 1, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Christopher William Sarli, Andrew George Dykstra, Todd Ashley Breeding, Makram Mounzer Mansour
  • Patent number: 10949328
    Abstract: Techniques are disclosed for data manipulation within a reconfigurable computing environment for data flow graph computation using exceptions. Processing elements are configured within a reconfigurable fabric to implement a data flow graph. The processing elements are loaded with process agents. Valid data is executed by a first process agent on a first processing element, where the first process agent corresponds to a starting node of the data flow graph. A second processing element detects that an error exception has occurred, where a second process agent is running on the second processing element. A done signal to a third process agent is withheld by the second process agent, where the third process agent is running on a third processing element. The second process agent raises an interrupt request, where the interrupt request is based on the detecting that an error exception has occurred.
    Type: Grant
    Filed: July 3, 2019
    Date of Patent: March 16, 2021
    Assignee: Wave Computing, Inc.
    Inventors: Keith Mark Evans, Stephen Curtis Johnson
  • Patent number: 10831968
    Abstract: An improved placement and routing method for circuit simulation includes receiving setup input controls to set up an initial arrangement of two blocks (e.g., a synthesized block and an IP block), and a designation of permutable interconnections; performing permutations of permutable interconnect signals on the, e.g., design block (or any other block)-IP block arrangement to determine an optimal permutation; compiling a bitstream comprising a final placement and route of a circuit based on the optimal permutation and generating the bitstream to be loaded onto a target FPGA; and sending the final order of the permutable signals to a permutable signals control memory structure.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: November 10, 2020
    Assignee: SYNOPSYS, INC.
    Inventors: Yoon Kah Leow, Ting-Mao Chang
  • Patent number: 10741540
    Abstract: A method of generating a layout diagram of an IC cell includes defining a boundary recess in a boundary of the cell by extending a first portion of the boundary along a first direction, extending a second portion of the boundary away from the first portion in a second direction perpendicular to the first direction, the second portion being contiguous with the first portion, and extending a third portion of the boundary away from the first portion in the second direction, the third portion being contiguous with the first portion. An active region is positioned in the cell by extending the active region away from the first portion in a third direction opposite to the second direction. The layout diagram is stored on a non-transitory computer-readable medium.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: August 11, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACUTRING COMPANY, LTD.
    Inventors: Chien-Ying Chen, Lee-Chung Lu, Li-Chun Tien, Ta-Pen Guo
  • Patent number: 10734329
    Abstract: In some embodiments, an electronic chip includes a doped semiconductor substrate of a first conductivity type, and wells of the second conductivity type on the side of the front face of the chip, in and on which wells circuit elements are formed. One or more slabs of a second conductivity type are buried under the wells and are separated from the wells. The electronic chip also includes, for each buried slab, a biasable section of the second conductivity type, which extends from the front face of the substrate to the buried slab. A first MOS transistor with a channel of the first conductivity type is disposed in the upper portion of each section, where the first transistor is an element of a flip-flop. A circuit is used for detecting a change in the logic level of one of the flip-flops.
    Type: Grant
    Filed: November 2, 2017
    Date of Patent: August 4, 2020
    Assignee: STMICROELECTRONICS (ROUSSET) SAS
    Inventors: Alexandre Sarafianos, Thomas Ordas
  • Patent number: 10671594
    Abstract: A method for updating a column store database and includes establishing a row store database, wherein each row comprises a plurality of attributes. The method includes establishing a column store database including attribute vectors corresponding to at least one attribute in the row store, wherein each attribute vector includes data used to satisfy at least one of previously received analytic queries. The method includes collecting a SQL change statements beginning from a synchronization point indicating when the row store database and the column store database are synchronized, and continuing until an analytic query is received. The method includes sending the plurality of SQL change statements to the column store database upon receipt of the analytic query for updating the column store database for purposes of satisfying the query, wherein the analytic query is directed to a queried range of primary key attributes in the plurality of attributes.
    Type: Grant
    Filed: September 17, 2014
    Date of Patent: June 2, 2020
    Assignee: Futurewei Technologies, Inc.
    Inventors: Ron-Chung Hu, Mengmeng Chen, Aniket Adnaik, Chi Yong Ku
  • Patent number: 10248585
    Abstract: Systems and methods for adding a logic layer between FPGA I/O and the core logic of the FPGA. With the extra layer, users can monitor and/or modify the I/O to the FPGA. In addition, users can monitor and/or modify input/output to the core logics of the FPGA, thereby filtering both I/O to the FPGA and the logic blocks of the FPGA. With the filtering in place, a non-intrusive digital scope can be implemented which can, in turn, be used to create a “black box” regarding FPGA I/O during the occurrence of the catastrophic events within the system.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: April 2, 2019
    Assignee: Oracle International Corporation
    Inventors: Xianda Ma, Michael David Derbish, Cornelia Luise Edeltraut Koch-Stoschek, Rambabu Lolabattu, Simon yiu hoi Poon, Cheng Yang
  • Patent number: 10116311
    Abstract: An embedded field programmable gate array (EFPGA) includes several abuttable configurable logic blocks (ACLBs). Each ACLB is interconnected with adjacent ACLBs by abutment of an out pin to an adjacent in pin. Each ACLB may be an instance of multiple programmable functional blocks. Each ACLB may be a particular ACLB type that provides a particular instance of the multiple programmable functional blocks. The EFPGA may include several ACLBs of the same type. An ACLB of one type may be adjacent an ACLB of a different type. The ACLBs may form sets that are configured identically. The sets may be interconnected by abutment of an out pin to an adjacent in pin. The EFPGA may be part of a system-on-chip integrated circuit. A method for designing an EFPGA with ACLBs that are interconnected by abutment is disclosed.
    Type: Grant
    Filed: August 3, 2016
    Date of Patent: October 30, 2018
    Assignee: Silicon Mobility
    Inventors: Grégorie Martin, David Cavalli, Fabian Firmin
  • Patent number: 9773087
    Abstract: A method and a system for creating a semiconductor device layout are disclosed. The system includes a display screen for displaying at least one graphic representation of a device layout; a memory storing a device library comprising a plurality of predefined target device layouts; and at least one processor coupled to the display screen and the memory and programmed to: prompt a user to draw at least one portion of at least one layer of a desired device layout to create a current device layout; identify at least one predefined target device layout from the device library that matches the at least one portion of the at least one layer; display the current device layout and the identified at least one predefined target device layout on the display screen; and indicate at least one difference between the current device layout and the at least one predefined target device layout on the display screen.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: September 26, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Ya-Min Zhang
  • Patent number: 9606176
    Abstract: Some embodiments provide an integrated circuit (“IC”) with a primary circuit structure. The primary circuit structure is for performing multiple operations that implement a user design. The primary circuit structure includes multiple circuits. The IC also includes a secondary monitoring structure for monitoring multiple operations. The secondary monitoring structure includes a network communicatively coupled to multiple circuits of the primary circuit structure. The secondary monitoring circuit structure is for analyzing the monitored operations and reporting on the analysis to a circuit outside of the IC.
    Type: Grant
    Filed: February 20, 2015
    Date of Patent: March 28, 2017
    Assignee: Altera Corporation
    Inventors: Marc Miller, Steven Teig, Jason Redgrave, Brad Hutchings, Danny Thom
  • Patent number: 9472501
    Abstract: A conductive line structure includes two conductive lines in a layout. The two cut lines are over at least a part of the two conductive lines in the layout. The cut lines designate cut sections of the two conductive lines and the cut lines are spaced from each other within a fabrication process limit. The two cut lines are connected in the layout. The two conductive lines are patterned over a substrate in a physical integrated circuit using the two connected parallel cut lines. The two conductive lines are electrically conductive.
    Type: Grant
    Filed: July 28, 2015
    Date of Patent: October 18, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ru-Gun Liu, Tung-Heng Hsieh, Tsung-Chieh Tsai, Juing-Yi Wu, Liang-Yao Lee, Jyh-Kang Ting
  • Patent number: 9390212
    Abstract: Multi-port memory circuits are often required within modern digital integrated circuits to store data. Multi-port memory circuits allow multiple memory users to access the same memory cell simultaneously. Multi-port memory circuits are generally custom-designed in order to obtain the best performance or synthesized with logic synthesis tools for quick design. However, these two options for creating multi-port memory give integrated circuit designers a stark choice: invest a large amount of time and money to custom design an efficient multi-port memory system or allow logic synthesis tools to inefficiently create multi-port memory. An intermediate solution is disclosed that allows an efficient multi-port memory array to be created largely using standard circuit cell components and register transfer level hardware design language code.
    Type: Grant
    Filed: May 4, 2015
    Date of Patent: July 12, 2016
    Assignee: Cisco Technology, Inc.
    Inventors: Sundar Iyer, Shang-Tse Chuang, Thu Nguyen, Sanjeev Joshi, Adam Kablanian
  • Patent number: 9275186
    Abstract: An embodiment is a method for providing an adjusted electronic representation of an integrated circuit layout, the method including using one or more processor, generating a timing performance of a path in a first netlist, identifying a first cell in the path that violates a timing performance parameter, and generating a plurality of derivative cells from a subsequent cell that is in the path after the first cell, where each derivative cell includes a variation of the subsequent cell. The method further includes in response to the identifying the first cell, replacing the subsequent cell with at least one of the plurality of derivative cells to generate a first modified netlist, where the variation of the at least one of the plurality of derivative cells reduces the violation of the timing performance parameter, and generating a final netlist based on the first modified netlist.
    Type: Grant
    Filed: May 2, 2014
    Date of Patent: March 1, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lee-Chung Lu, Yi-Kan Cheng, Chung-Hsing Wang, Chen-Fu Huang, Hsiao-Shu Chao, Chin-Yu Chiang, Ho Che Yu, Chih Sheng Tsai, Shu Yi Ying
  • Patent number: 9152756
    Abstract: Various techniques are provided to route connections within a programmable logic device (PLD). In one example, a method includes determining timing slacks for connections described in a netlist for a programmable logic device (PLD). The method also includes determining a plurality of priority groups. The connections are associated with one or more of the priority groups based on the timing slacks. The method also includes routing the connections associated with each priority group, from a highest priority group to a lowest priority group. Each priority group is iteratively routed to remove routing conflicts before lower priority groups are routed. Additional methods, systems, machine-readable mediums, and other techniques are also provided.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: October 6, 2015
    Assignee: Lattice Semiconductor Corporation
    Inventor: Qinhai Zhang
  • Patent number: 9147025
    Abstract: A method for programming a cluster-based field programmable gate array (FPGA) device includes providing a netlist and cluster size information, translating the netlist into a hypergraph, partitioning the hypergraph into multiple partitions and optimizing the Rent characteristic, translating the partitions into clusters, placing the clusters on the FPGA device, routing interconnects using a pre-fabricated routing resource on the FPGA device, generating a programming bitstream in response to the placing and routing, and providing the programming bitstream to the FPGA device to realize the user design.
    Type: Grant
    Filed: July 10, 2014
    Date of Patent: September 29, 2015
    Assignee: Microsemi SoC Corporation
    Inventors: Wenyi Feng, Jonathan Greene, Kristofer Vorwerk, Val Pevzner, Arunangshu Kundu
  • Patent number: 9058453
    Abstract: A system and method are provided for configuring a plurality of pin resources. The method includes identifying a plurality of pin resources of a primary application specific integrated circuit (ASIC) device and configuring the plurality of pin resources based on a pin distribution between a first interface and a second interface, where the first interface provides a first communication path between the primary ASIC device and a first device, and the second interface provides a second communication path between the primary ASIC device and a second device.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: June 16, 2015
    Assignee: NVIDIA Corporation
    Inventors: Stephen William Keckler, William J. Dally, Steven Lee Scott, Brucek Kurdo Khailany, Michael Allen Parker
  • Patent number: 9053209
    Abstract: A system, method, and computer program product are provided for categorizing a plurality of vertices of a graph. A predetermined plurality of random numbers is assigned to each vertex of the plurality of vertices, a determination is made whether each of the assigned predetermined plurality of random numbers of a single vertex is greater than a corresponding random number of the assigned predetermined plurality of random numbers of each of the neighbors of the single vertex, and in response to the determination, one of the assigned random numbers is selected from a group of assigned random numbers of the single vertex.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: June 9, 2015
    Assignee: NVIDIA Corporation
    Inventor: Jonathan Michael Cohen
  • Publication number: 20150143321
    Abstract: A semiconductor chip is defined to include a logic block area having a first chip level in which layout features are placed according to a first virtual grate, and a second chip level in which layout features are placed according to a second virtual grate. A rational spatial relationship exists between the first and second virtual grates. A number of cells are placed within the logic block area. Each of the number of cells is defined according to an appropriate one of a number of cell phases. The appropriate one of the number of cell phases causes layout features in the first and second chip levels of a given placed cell to be aligned with the first and second virtual grates as positioned within the given placed cell.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 21, 2015
    Inventors: Jonathan R. Quandt, Scott T. Becker, Dhrumil Gandhi
  • Publication number: 20150143320
    Abstract: A method of producing a MROM memory based on an OTP memory is provided. The method includes: removing the floating gate of the second PMOS transistor of the OTP memory cell for storing data “0” in the OTP memory map, such that the OTP memory cell being transferred to a MROM memory cell for storing data “0”, and retaining the original structure of the OTP memory cell for storing data “1” in the OTP memory map, such that the original structure being used as a MROM memory cell for storing data “1”, thus forming a MROM memory map; and producing a MROM memory according to the MROM memory map. According to the present invention, the OTP memory map which is debugged and has determined data can be changed into the MROM memory map, and the OTP process can be transferred into the MROM process by adjusting only one mask during the producing process. The present invention greatly saves the time and cost of the device programming and testing, thus simplifying the process and saving the cost, increasing the profit.
    Type: Application
    Filed: May 9, 2013
    Publication date: May 21, 2015
    Inventor: Shuming Guo
  • Patent number: 8990757
    Abstract: An interface design for a hybrid IC that utilizes dedicated interface tracks to allow signals to interface distributively with the logic blocks of the FPGA portion providing for faster and more efficient communication between the FPGA and ASIC portions of the hybrid IC.
    Type: Grant
    Filed: May 2, 2008
    Date of Patent: March 24, 2015
    Assignee: Microsemi SoC Corporation
    Inventors: King W. Chan, William C. T. Shu, Sinan Kaptanoglu, Chi Fung Cheng
  • Publication number: 20150076564
    Abstract: Multiple threshold voltage circuitry based on silicon-on-insulator (SOI) technology is disclosed which utilizes N-wells and/or P-wells underneath the insulator in SOI FETs. The well under a FET is biased to influence the threshold voltage of the FET. A PFET and an NFET share a common buried P-well or N-well. Various types of logic can be fabricated in silicon-on-insulator (SOI) technology using multiple threshold voltage FETs. Embodiments provide circuits including the advantageous properties of both low-leakage transistors and high-speed transistors.
    Type: Application
    Filed: September 16, 2014
    Publication date: March 19, 2015
    Inventors: Gajendra Prasad Singh, Roger Carpenter
  • Patent number: 8959469
    Abstract: A method of preparing a programmable integrated circuit device for configuration using a high-level language includes compiling a plurality of virtual programmable devices from descriptions in said high-level language. The compiling includes compiling configurations of configurable routing resources from programmable resources of said programmable integrated circuit device, and compiling configurations of a plurality of complex function blocks from programmable resources of said programmable integrated circuit device. A machine-readable data storage medium may be encoded with a library of such compiled configurations. A virtual programmable device may include a stall signal network and routing switches of the virtual programmable device may include stall signal inputs and outputs.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: February 17, 2015
    Assignee: Altera Corporation
    Inventors: Doris Tzu-Lang Chen, Deshanand Singh
  • Patent number: 8952546
    Abstract: An integrated circuit comprising a plurality of standard cell circuit elements is disclosed, wherein for at least one layer of the integrated circuit, a majority of minimum-width patterns are in a preferred diagonal orientation.
    Type: Grant
    Filed: May 5, 2014
    Date of Patent: February 10, 2015
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Larry Lam Chau, Tam Dinh Thanh Nguyen
  • Patent number: 8914762
    Abstract: A method, computer-readable medium and apparatus for creating a platform-specific logic design from an input design are disclosed. For example, a method includes receiving an input design and an identification of a target device. The method next determines an unconnected external interface of the input design and detects an unconnected external interface of the target device. The method then generates an updated design from the input design. The updated design includes the input design and further includes a connection between the unconnected external interface of the input design and the unconnected external interface of the target device.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: December 16, 2014
    Assignee: Xilinx, Inc.
    Inventors: Martin Sinclair, Brian Cotter
  • Patent number: 8910103
    Abstract: A method is for designing an accelerator for digital signal processing including defining a software programmable fully pre-laid out macro by pre-laying out with a fixed topology a control logic of the DSP accelerator to obtain a fully pre-laid out control logic. The method further includes defining a hardware programmable partially pre-laid out macro by customizing a configurable layout area, thereby mapping a computational logic based on computation kernels related to an application of the DSP accelerator. A partially pre-laid out computational logic is therefore obtained.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: December 9, 2014
    Assignee: STMicroelectronics S.r.l.
    Inventors: Fabio Campi, Claudio Mucci, Stefano Pucillo, Luca Ciccarelli, Valentina Nardone
  • Patent number: 8898611
    Abstract: VLSI layouts of generalized multi-stage and pyramid networks for broadcast, unicast and multicast connections are presented using only horizontal and vertical links with spacial locality exploitation. The VLSI layouts employ shuffle exchange links where outlet links of cross links from switches in a stage in one sub-integrated circuit block are connected to inlet links of switches in the succeeding stage in another sub-integrated circuit block so that said cross links are either vertical links or horizontal and vice versa. Furthermore the shuffle exchange links are employed between different sub-integrated circuit blocks so that spacially nearer sub-integrated circuit blocks are connected with shorter links compared to the shuffle exchange links between spacially farther sub-integrated circuit blocks. In one embodiment the sub-integrated circuit blocks are arranged in a hypercube arrangement in a two-dimensional plane.
    Type: Grant
    Filed: October 16, 2010
    Date of Patent: November 25, 2014
    Assignee: Konda Technologies Inc.
    Inventor: Venkat Konda
  • Patent number: 8881085
    Abstract: A method of evaluating a layout cell for electrostatic discharge (ESD) protection can include identifying at least one feature of the layout cell for use in implementing an integrated circuit (IC) and comparing the at least one feature of the layout cell to an ESD requirement for the IC. The method can include indicating whether the feature of the layout cell complies with the ESD requirement.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: November 4, 2014
    Assignee: Xilinx, Inc.
    Inventors: James Karp, Greg W. Starr, Mohammed Fakhruddin
  • Patent number: 8881082
    Abstract: A computing device is configured to analyze a logic gate design having logic gates. The computing device is configured further to identify logic gates that are affected by toggling activity associated with an input of one or more of the logic gates. The computing device is configured further to replace, within the logic gate design, the identified logic gates with different logic gates that are not affected by the toggling activity; and output a new logic gate design based on replacing the identified logic gates with the different logic gates, the application specific integrated circuit, with the new logic gate design, producing a same output as the application specific integrated circuit with the logic gate design, based on same inputs.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: November 4, 2014
    Assignee: Infinera Corporation
    Inventor: Vinay Adavani
  • Patent number: 8863060
    Abstract: An Infrastructure Description Language (IDL) includes Service Level Hints (SLHs) and Service Level Requirements (SLRs). The SLHs and SLRs are used to configure at least one hardware resource in a computing system having an intelligent configurator to broker a hardware configuration based on the SLHs and SLRs.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: October 14, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Arun S. Jagatheesan, Zheng Li
  • Patent number: 8856718
    Abstract: A computer-implemented method of estimating signal congestion in routing resources of a programmable logic device (PLD), wherein the routing resources include configurable interface blocks (CIBs) and wires of different types supported by the CIBs. The method includes identifying, from a representation of a PLD stored within a computer system, components of the PLD to be connected in a configuration of the PLD. A CIB associated with an identified PLD component is then selected. A wire type supported by the selected CIB is also selected. The number of wires of the selected type needed at the selected CIB to implement the PLD configuration and the number of wires of the selected type provided by the CIB are calculated. Signal congestion at the selected CIB is estimated from at least the needed number of wires and the provided number of wires.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: October 7, 2014
    Assignee: Lattice Semiconductor Corporation
    Inventor: Jun Zhao
  • Patent number: 8856713
    Abstract: A method for designing a system on a target device includes identifying candidate portions in the system to preserve based on similarities between the system and another system. Preservation criteria are applied on the candidate portions in the system to preserve to identify portions of the system to preserve. Design results from the another system are reused for portions in the system that are preserved.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: October 7, 2014
    Assignee: Altera Corporation
    Inventors: Ketan Padalia, Ryan Fung
  • Publication number: 20140291730
    Abstract: A first linear-shaped conductive structure (LCS) forms a gate electrode (GE) of a first transistor of a first transistor type. A second LCS forms a GE of a first transistor of a second transistor type. A third LCS forms a GE of a fourth transistor of the first transistor type. A fourth LCS forms a GE of a fourth transistor of the second transistor type. Transistors of the first transistor type are collectively separated from transistors of the second transistor type by an inner region. Each of the first, second, third, and fourth LCS's has a respective electrical connection area. At least two of the electrical connection areas of the first, second, third, and fourth LCS's are located within the inner region. The first and fourth transistors of the first transistor type and the first and fourth transistors of the second transistor type form part of a cross-coupled transistor configuration.
    Type: Application
    Filed: June 12, 2014
    Publication date: October 2, 2014
    Inventors: Scott T. Becker, Jim Mali, Carole Lambert
  • Patent number: 8826216
    Abstract: A system and method of operating an integrated circuit (IC) having a fixed layout of one or more blocks having one or more current sources therein that draw electrical current from a power source. The method includes dynamically issuing to a block configured to perform operations responsive to an instruction received at the block, a reserve amount of tokens; determining for each issuance of instruction to the block whether that block's reserve token amount exceeds zero; and one of: issuing the instruction to the block if the token reserve for that block is greater than one, and decrementing, after issuance of the instruction, by one token the block's reserve token amount, or, preventing issuance of an instruction to the block. In the method, each block may be initialized to have: a reserve token amount of zero, a token expiration period; a token generation cycle and a token generation amount.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Publication number: 20140245247
    Abstract: An integrated circuit comprising a plurality of standard cell circuit elements is disclosed, wherein for at least one layer of the integrated circuit, a majority of minimum-width patterns are in a preferred diagonal orientation.
    Type: Application
    Filed: May 5, 2014
    Publication date: August 28, 2014
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Larry Lam Chau, Tam Dinh Thanh Nguyen
  • Patent number: 8789001
    Abstract: A system and method of determining paths of components when placing and routing configurable circuits. The method identifies a probabilistic data flow through multiple components using a simplified connection matrix. The simplified connection matrix is used to determine a probabilistic data flow through the components without data flowing from any component to itself. The probabilistic data flow is used to determine a probabilistic data flow through the components with some of the components having data flowing from themselves back to themselves. The probabilistic data flow through each component and the number of inputs of the components are used to determine a cost for each component. The cost of a path through the circuit is determined from the costs of the individual components in the path. The costs of the components are used to determine which path of components to use.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: July 22, 2014
    Assignee: Tabula, Inc.
    Inventors: Eric A. Sather, Steven Teig
  • Patent number: 8756548
    Abstract: A method for operating a computing system includes: receiving an application-tree for instantiating an application in a reconfigurable hardware device; operating a kernel unit for determining an unoccupied logic-sector within a reconfigurable hardware device; calculating a layout section from the application-tree according to the unoccupied logic-sector for instantiating a fragment circuitry corresponding to the layout section; and determining a system table for connecting the fragment circuitry to other portions of the application to form the application having the fragment circuitry.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: June 17, 2014
    Assignee: Xcelemor, Inc.
    Inventor: Peter J Zievers
  • Patent number: 8739101
    Abstract: A method of configuring a hardware design for a pipelined parallel stream processor includes obtaining a scheduled graph representing a processing operation in the time domain as a function of clock cycles. The graph includes a data path to be implemented in hardware as part of the stream processor, an input, an output, and parallel branches to enable data values to be streamed therethrough from the input to the output as a function of increasing clock cycle. The data path is partitioned into a plurality of discrete regions, each region operating on a different clock phase and having discrete control logic elements. Phase transition registers to align data separated by a boundary between regions having different clock phases are introduced into the data path at the boundary. The graph and control logic elements define a hardware design for the pipelined parallel stream processor.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: May 27, 2014
    Assignee: Maxeler Technologies Ltd.
    Inventor: Robert Gwilym Dimond
  • Patent number: 8732635
    Abstract: A programmable logic device (PLD) includes a non-volatile memory, a configuration memory, and a control circuitry. The control circuitry couples to the non-volatile memory and to the configuration memory. A set of voltages are derived from the outputs of the control circuitry, and are applied to circuitry within the PLD.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: David Lewis, Christopher F. Lane, Sarathy Sribhashyam, Srinivas Perisetty, Tim Vanderhoek, Vaughn Betz, Thomas Yau-Tsun Wong, Andy L. Lee
  • Publication number: 20140130003
    Abstract: A method for validating standard cells stored in a standard cell library and for use in design of an integrated circuit device is described. Each standard cell of the standard cells is iteratively placed adjacent to each side and corner of itself and each other standard cell of the standard cells to produce an interim test layout comprising a first plurality of cell pair permutations. The cell pair permutations are reduced by identifying at least one of: illegal or redundant left-right and top-bottom boundaries, and removing any cell pair permutations using the identified boundaries to generate a final test layout comprising a second plurality of cell pair permutations.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 8, 2014
    Applicant: Advanced Micro Devices Inc.
    Inventor: Advanced Micro Devices Inc.
  • Patent number: 8689156
    Abstract: A method of generating a hardware design for a pipelined parallel stream processor. The method includes defining a processing operation designating processes to be implemented in hardware as part of said pipelined parallel stream processor and defining a graph representing said processing operation as a parallel structure in the time domain as a function of clock cycles. The method also includes defining the at least one data path and associated latencies of said graph as a set of algebraic linear inequalities, collectively solving the set of linear inequalities for the entire graph, optimizing the at least one data path in the graph using the solved linear inequalities to produce an optimized graph, and utilizing the optimized graph to define an optimized hardware design for implementation in hardware as the pipelined parallel stream processor.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: April 1, 2014
    Assignee: Maxeler Technologies Ltd.
    Inventors: James Huggett, Jacob Alexis Bower, Oliver Pell
  • Patent number: 8683412
    Abstract: Disclosed are improved methods, systems, and computer program products for generating and optimizing an I/O ring arrangement for an electronic design. Corner packing is one approach that can be taken to optimizing an I/O ring. Stacking of I/O components provides another approach for optimizing an I/O ring.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: March 25, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thaddeus Clay McCracken, Miles P. McGowan
  • Patent number: 8677298
    Abstract: A method of configuring an integrated circuit device with a user logic design includes analyzing the user logic design to identify critical and near-critical cyclic logic paths within the user logic design, applying timing optimizations to the critical and near-critical cyclic logic paths, and retiming logic paths other than the critical and near-critical cyclic logic paths.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: March 18, 2014
    Assignee: Altera Corporation
    Inventors: Valavan Manohararajah, David Lewis, David Galloway, Ryan Fung
  • Patent number: 8671377
    Abstract: A method for designing a system on a target device includes assigning resources on the target device to static logic modules and partial reconfigurable (PR) modules in the system. The instances of one of the PR modules are placed and routed in parallel utilizing resources from those that are assigned. Other embodiments are also disclosed.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: March 11, 2014
    Assignee: Altera Corporation
    Inventors: David Samuel Goldman, Mark Bourgeault, Vaughn Betz, Alan Louis Herrmann
  • Patent number: 8649609
    Abstract: An apparatus is provided that includes a plurality of modules, a plurality of memory banks, and a multiplexor. Each module includes at least one agent that interfaces between a module and a memory bank. Each memory bank includes an arbiter that interfaces between the at least one agent of each module and the memory bank. The multiplexor is configured to assign data paths between the at least one agent of each module and a corresponding arbiter of each memory bank based on the assigned data path. The at least one agent of each module is configured to read data from the corresponding arbiter of the memory bank or write modified data to the corresponding arbiter of the memory bank.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: February 11, 2014
    Assignee: The United States of America as Represented by the Adminstrator of the National Aeronautics and Space Administration
    Inventors: Arin C Morfopoulos, Thang D Pham
  • Patent number: 8650525
    Abstract: Systems and methods for increasing speed and reducing processing power of a compile process of programmable logic of an integrated circuit (IC) are provided. For example, in one embodiment, a method includes obtaining a high level program, comprising computer-readable instructions for implementation on programmable logic of an integrated circuit (IC); translating the high level program into low level code representative of functional components needed to execute functionalities of the high level program; generating a host program comprising computer-readable instructions for implementing the low level code based upon the high level program; obtaining modifications to the high level program; determining whether the modifications can be implemented by a new host program utilizing the low level code; and generating the new host program to implement the modifications, when the modifications can be implemented by the new host program utilizing the low level code.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: February 11, 2014
    Assignee: Altera Corporation
    Inventors: Doris Tzu Lang Chen, Deshanand Singh