With Partitioning Patents (Class 716/124)
  • Patent number: 11927630
    Abstract: An approach is proposed to support schedule-based I/O multiplexing for scan testing of an IC. A plurality of I/Os are assigned to a plurality of blocks in the IC for scan testing based on a set of slots under a set of schedules. Each of the set of slots includes a fixed number of scan input pins/pads and scan output pins/pads of the IC. Each slot is then assigned to a specific block on the IC for the scan test until all of the slots available are utilized. The group of assigned blocks is referred to as a schedule, and all of these blocks belonging to this schedule are scan tested in parallel at the same time. The remaining blocks on the IC are also assigned to the slots until all blocks on the IC are assigned to a schedule to be scan tested.
    Type: Grant
    Filed: October 13, 2021
    Date of Patent: March 12, 2024
    Assignee: Marvell Asia Pte Ltd
    Inventor: Sounil Biswas
  • Patent number: 11881477
    Abstract: An array of poly lines on an active device area of an integrated chip is extended to form a dummy device structure on an adjacent isolation region. The resulting dummy device structure is an array of poly lines having the same line width, line spacing, and pitch as the array of poly lines on the active device area. The poly lines of the dummy device structure are on grid with the poly lines on the active device area. Because the dummy device structure is formed of poly lines that are on grid with the poly lines on the active device area, the dummy device structure may be much closer to the active device area than would otherwise be possible. The resulting proximity of the dummy device structure to the active device area improves anti-dishing performance and reduces empty space on the integrated chip.
    Type: Grant
    Filed: June 16, 2020
    Date of Patent: January 23, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung Feng Chang, Bao-Ru Young, Yu-Jung Chang, Tzung-Chi Lee, Tung-Heng Hsieh, Chun-Chia Hsu
  • Patent number: 11615228
    Abstract: A method of designing a 3D Integrated Circuit, the method including: partitioning at least one design into at least two levels, a first level and a second level; providing connections placement data of the second level, where the connections include planned connections between the first level and the second level; performing a placement of the first level using a placer executed by a computer, where the placement of the first level is based on the connections placement data, where the placer is part of a Computer Aided Design (CAD) tool, and where the first level includes first routing layers; and performing a routing of the first level by routing layers using a router executed by a computer, where the router is a part of the Computer Aided Design (CAD) tool or a part of another CAD tool.
    Type: Grant
    Filed: December 28, 2022
    Date of Patent: March 28, 2023
    Assignee: MONOLITHIC 3D INC.
    Inventors: Zvi Or-Bach, Zeev Wurman
  • Patent number: 11467998
    Abstract: Techniques for low-latency packet processing are disclosed. A network device receives a first set of write transactions including a first set of data segments corresponding to a first DMA descriptor from a host. The network device receives a second set of write transactions including a second set of data segments corresponding to a second DMA descriptor from the host. The network device detects that the first set of data segments have been written. In response to detecting that the first set of data segments have been written, and prior to completely writing the second set of data segments and to receiving a packet notifier from the host, the network device processes the first DMA descriptor.
    Type: Grant
    Filed: March 16, 2021
    Date of Patent: October 11, 2022
    Assignee: Amazon Technologies, Inc.
    Inventors: Erez Izenberg, Said Bshara, Jonathan Cohen, Avigdor Segal
  • Patent number: 11443096
    Abstract: A method is provided in the present disclosure. The method includes several operations: generating a floor plan having multiple macros for an integrated circuit; adjusting the macros according to a channel area interposed between the pins; separating the macros by a channel width of the channel area; and adjusting, in accordance with correlations between the macros and multiple registers, the macros in the floor plan.
    Type: Grant
    Filed: October 15, 2020
    Date of Patent: September 13, 2022
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TSMC NANJING COMPANY LIMITED
    Inventors: Yi-Lin Chuang, Shi-Wen Tan, Song Liu, Shih-Yao Lin, Wen-Yuan Fang
  • Patent number: 11341309
    Abstract: A method of designing a 3D Integrated Circuit, including: performing partitioning to at least a logic strata, the logic strata including logic, and to a memory strata, the memory strata including memory; then performing a first placement of the memory strata using a 2D placer executed by a computer, where the 2D placer includes a Computer Aided Design tool, where the 3D Integrated Circuit includes a plurality of connections between the logic and the memory strata; and performing a second placement of the logic strata based on the first placement, where the memory includes a first memory array, where the logic includes a first logic circuit connected so to write data to the first memory array, where the first placement includes placement of the first memory array, and where the second placement includes placement of the first logic circuit based on the placement of the first memory array.
    Type: Grant
    Filed: January 22, 2022
    Date of Patent: May 24, 2022
    Assignee: MONOLITHIC 3D INC.
    Inventors: Zvi Or-Bach, Zeev Wurman
  • Patent number: 11275884
    Abstract: A method of identifying elements in a design layout having multiple levels of hierarchical cells, each cell having one or more geometric elements, may include selecting a cell from a list of candidate cells for a level of a hierarchy; applying a local rule to the selected cell; identifying each selected cell that includes a geometric element that passes the local rule; building a list of candidate cells for a next-higher level of the hierarchy according to the identified cells; repeating the selecting, identifying, and building operations for each higher level of the hierarchy; and when a highest level of the hierarchy has been processed, returning and storing the list of candidate cells as the global solution for the applied local rule.
    Type: Grant
    Filed: August 26, 2020
    Date of Patent: March 15, 2022
    Assignee: Siemens Industry Software Inc.
    Inventor: Fedor G. Pikus
  • Patent number: 11191005
    Abstract: A cyber control plane for universal physical space is provided. A method can include establishing, by a device comprising a processor, control of a physical space within a geographic area by a control system for the physical space; in response to the establishing, generating, by the device, an authorization policy that regulates access to a wireless communication network within the physical space based on network access rules provided by the control system; and denying, by the device, access to resources of the wireless communication network within the physical space to a mobile application according to the authorization policy.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: November 30, 2021
    Assignee: AT&T INTELLECTUAL PROPERTY I, L.P.
    Inventor: John Oetting
  • Patent number: 11080019
    Abstract: A method for designing and configuring a system on a field programmable gate array (FPGA) is disclosed. A portion of the system that is implemented greater than a predetermined number of times is identified. A structural netlist that describes how to implement the portion of the system a plurality of times on the FPGA and that leverages a repetitive nature of implementing the portion is generated. The identifying and generating is performed prior to synthesizing and placing other portions of the system that are not implemented greater than the predetermined number of time. Synthesizing, placing, and routing the other portions of the system on the FPGA is performed in accordance with the structural netlist. The FPGA is configured with a configuration file that includes a design for the system that reflects the synthesizing, placing, and routing, wherein the configuring physically transforms resources on the FPGA to implement the system.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: August 3, 2021
    Assignee: Intel Corporation
    Inventors: Martin Langhammer, Gregg William Baeckler, Sergey Gribok
  • Patent number: 11030372
    Abstract: A method (of generating a layout diagram) includes generating a cell, representing at least part of a circuit in a semiconductor device, which is arranged at least in part according to second tracks of the M_2nd level (M_2nd tracks), and first tracks of the M_1st level (M_1st tracks). The generating the cell includes: selecting, based on a chosen site for the cell in the layout diagram, one of the M_2nd tracks; generating a first M_2nd pin pattern representing an output pin of the circuit; arranging a long axis of the first pin pattern substantially along the selected M_2nd track; generating second, third, fourth and fifth M_1st pin patterns representing corresponding input pins of the circuit; and arranging long axes of the second to fifth pin patterns substantially along corresponding ones of the M_1st tracks.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: June 8, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Pin-Dai Sue, Chin-Chou Liu, Sheng-Hsiung Chen, Fong-Yuan Chang, Lee-Chung Lu, Yen-Hung Lin, Li-Chun Tien, Po-Hsiang Huang, Yi-Kan Cheng, Chi-Yu Lu
  • Patent number: 11030380
    Abstract: A synergistic design method for an integrated circuit (IC) is provided. The synergistic design method includes forming a standard cell library and a non-standard cell library, implementing an IC design process from a high-level behavior specification through a gate-level netlist to a physical layout, and verifying the physical layout to fabricate the IC. Each standard cell of the standard cell library performs a Boolean logic operation. Each non-standard cell of the non-standard cell library performs a complex function beyond the Boolean logic operation. A conversion process is executed for translating a circuit function into a Boolean network to generate the gate-level netlist based on the standard cells of the standard cell library corresponding to the circuit function. A direct mapping is executed on the non-standard cell by skipping the conversion process during the IC design process to generate the gate-level netlist.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: June 8, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Katherine H. Chiang, Chung-Te Lin
  • Patent number: 10901701
    Abstract: Implementations generally relate to rendering orthogonal lines in a sequence flow. In some implementations, a method includes determining, in a user interface of a client device, a first location of a first process node of a process and a second location of a second process node of the process. The method further includes determining, in the user interface, a control location of a control point for a sequence flow process element. The method further includes placing an orthogonal line between the first location and the second location, wherein the orthogonal line is selected from a plurality of predetermined orthogonal lines based on the control location, the first location, and the second location.
    Type: Grant
    Filed: February 6, 2017
    Date of Patent: January 26, 2021
    Assignee: Oracle International Corporation
    Inventors: Nicolas Laplume, Javier Espeche, Pablo Schmid
  • Patent number: 10796046
    Abstract: This application discloses a computing system implementing a parasitic extraction tool to generate parasitic netlists from tests cases including test layout models of integrated circuit structures. The test cases include reference netlists corresponding to intended parasitic netlists for the test layout models. The computing system can determine values for scaling coefficients that, when utilized by the parasitic extraction tool to generate the parasitic netlists, allow differences between the parasitic netlists and the reference netlists to fall below threshold levels. The determination of the scaling coefficients is performed by iteratively adjusting the values of the scaling coefficients based on differences between the reference netlists and the parasitic netlists generated with the scaling coefficients having the adjusted values.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: October 6, 2020
    Assignee: Mentor Graphics Corporation
    Inventors: Vasileios Kourkoulos, Rengjing Zhang, Joshua Adkins
  • Patent number: 10606650
    Abstract: A method and job scheduling node (200) for scheduling data processing across a set of processing machines (202), each processing machine comprising at least one data processor. When receiving (2:2) a request for a data processing job, the job scheduling node (200) obtains (2:5) a current processor resource status of each processing machine, the processor resource status indicating at least current usage of each data processor in said processing machine. The job scheduling node (200) further selects (2:6) at least one vacant data processor in the set of processing machines based on the obtained processor resource status, for executing the data processing job, and dispatches (2:7) the data processing job to at least one processing machine (202a) where the at least one selected data processor is located.
    Type: Grant
    Filed: March 24, 2015
    Date of Patent: March 31, 2020
    Assignee: TELEFONAKTIEBOLAGET LM ERICSSON (PUBL)
    Inventors: Yue Lu, Fetahi Wuhib
  • Patent number: 10586006
    Abstract: Generating design data for manufacturing a logic array of a semiconductor circuit from specification data describing the logic array. The specification is transformed into structured specification data including objects corresponding to circuit cells of a first type and logic specification data specifying the logic circuitry to be included in the logic array, and into structure data including placing and routing information concerning the circuit cells of the first type. A determination is made of circuit cells of a second type from the logic specification data. The circuit cells of the first type are pre-placed and routed based on the structure data. The circuit cells of second type are automatically placed and routed.
    Type: Grant
    Filed: April 24, 2019
    Date of Patent: March 10, 2020
    Assignee: International Business Machines Corporation
    Inventors: Albert Frisch, Thomas Kalla, Juergen Pille, Philipp Salz
  • Patent number: 10521432
    Abstract: Described is a system, a method, and a computer-implemented apparatus for increasing computational efficiency and capacity of data stream processing systems. In one embodiment, executor grouping reduces cross-socket communication in a Non-Uniform Memory Access (NUMA) system. In another embodiment, input batching reduces thread context switches which improves instruction cache performance.
    Type: Grant
    Filed: November 10, 2016
    Date of Patent: December 31, 2019
    Assignee: SAP SE
    Inventors: Shuhao Zhang, Bingsheng He, Daniel Hermann Richard Dahlmeier
  • Patent number: 10467365
    Abstract: The present disclosure relates to a system for use in electronic circuit design. The system may include a computing device configured to receive, using at least one processor, an electronic design. The at least one processor may be further configured to generate a common path pessimism removal (“cppr”) database configured to store one or more cppr tags obtained from an initial timing analysis of at least a portion of the electronic design. The at least one processor may be further configured to apply the one or more cppr tags during a block-level timing analysis.
    Type: Grant
    Filed: April 10, 2017
    Date of Patent: November 5, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Pawan Kulshreshtha, Vibhor Garg
  • Patent number: 10210299
    Abstract: Disclosed are methods, systems, and articles of manufacture for dynamically abstracting virtual hierarchies for an electronic design. These techniques identify at least a portion of a layout of an electronic design and a virtual hierarchy in the layout portion according to a value for a display stop level. A plurality of figure groups at one or more virtual hierarchies in the layout portion may also be identified in the layout portion. These techniques select a plurality of layout circuit component designs according to the virtual hierarchy. The layout portion may then be abstracted into an abstracted layout portion at least by displaying the plurality of layout circuit component designs and suppressing one or more remaining layout circuit component designs.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: February 19, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventor: Arnold Ginetti
  • Patent number: 10009358
    Abstract: Methods, systems, and apparatus, including computer programs encoded on computer storage media, for detecting malicious attacks. One of the methods includes generating a collection of hypergraphs representing user events across a collection of users; analyzing the collection of hypergraphs to determine a group of malicious user accounts or account activities satisfying a threshold confidence; using the group of malicious user accounts or account activities as training data for a machine learning system that generates one or more classifiers; and using the one or more generated classifiers to output additional malicious user accounts or account activities.
    Type: Grant
    Filed: February 11, 2015
    Date of Patent: June 26, 2018
    Assignee: DataVisor Inc.
    Inventors: Yinglian Xie, Fang Yu
  • Patent number: 9977856
    Abstract: A system for analyzing IC layouts and designs by calculating variations of a number of objects to be created on a semiconductor wafer as a result of different process conditions. The variations are analyzed to determine individual feature failures or to rank layout designs by their susceptibility to process variations. In one embodiment, the variations are represented by PV-bands having an inner edge that defines the smallest area in which an object will always print and an outer edge that defines the largest area in which an object will print under some process conditions.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: May 22, 2018
    Assignee: Mentor Graphics Corporation
    Inventor: Juan Andres Torres Robles
  • Patent number: 9916283
    Abstract: A method for solving a problem involving a hypergraph partitioning is disclosed, the method comprising receiving an indication of a problem involving a hypergraph partitioning; obtaining at least one property associated with a quadratic unconstrained binary optimization solver operatively coupled with the digital computer; formulating a partitioning problem of the hypergraph as an unconstrained binary optimization problem; reducing the unconstrained binary optimization problem into a quadratic unconstrained binary optimization problem; mapping the quadratic unconstrained binary optimization problem into the quadratic unconstrained binary optimization solver; obtaining from the quadratic unconstrained binary optimization solver at least one solution to the quadratic unconstrained binary optimization problem; applying a refinement procedure and translating the refined at least one solution to provide an indication of the partitioning and providing a solution to the problem.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: March 13, 2018
    Assignee: 1QG INFORMATION TECHNOLOGIES INC.
    Inventors: Arman Zaribafiyan, Dominic Marchand
  • Patent number: 9830414
    Abstract: A system and method for adding hierarchy to a netlist. A netlist is received and converted into a connected graph. Location parameters for the nodes of the connected graph are mapped onto the connected graph. Landmark structures are identified in the connected graph, wherein identifying includes recording a location associated with each landmark structure. Patterns are searched for in the connected graph, wherein searching proceeds outward from an anchor defined by the location of each of the identified landmark structures.
    Type: Grant
    Filed: March 16, 2015
    Date of Patent: November 28, 2017
    Assignee: Raytheon Company
    Inventor: Parviz Saghizadeh
  • Patent number: 9721052
    Abstract: The present disclosure relates to a system and method for multi-user, at least partially concurrent, electronic circuit design. Embodiments may include displaying, at a first client computing device associated with a first user, at least a portion of an electronic circuit design, wherein the electronic circuit design is accessible by multiple users in an at least partially concurrent manner. Embodiments may further include processing a command at the first client computing device from the first user and receiving a temporary update from a server computing device, wherein the temporary update corresponds to a second user associated with a second client computing device. Embodiments may also include displaying, at the first client computing device, an operation corresponding to the received temporary update.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: August 1, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Patrick Bernard, Sean Bergan, George Malcolm Buzzell
  • Patent number: 9721053
    Abstract: A system for printed circuit board layout includes a processing unit and a memory unit. The memory unit stores physical node data and virtual node data. The processing unit is electrically coupled to the memory unit and configured to execute steps of a method for printed circuit board layout. In particular, the physical node data of a printed circuit board (PCB) is acquired. The physical node data include a plurality of data structure and coordinate points of the physical nodes. The virtual node data of the PCB is acquired. The virtual node data include a plurality of data structure of the virtual nodes. A corresponding relation of the physical nodes and the virtual nodes is determined according to the physical node data and the virtual node data. The virtual nodes are disposed at the physical node coordinate points according to the corresponding relation.
    Type: Grant
    Filed: March 23, 2016
    Date of Patent: August 1, 2017
    Assignees: Inventec (Pudong) Technology Corporation, INVENTEC CORPORATION
    Inventors: Yung-Chien Cheng, Ming-Hui Lin, Yi-Hsin Hsieh, Yu-Jen Lin
  • Patent number: 9684750
    Abstract: The present disclosure relates to a method for multi-user, at least partially concurrent, electronic circuit design. Embodiments may include receiving, at a client computing device, a user input corresponding to a change to an electronic circuit design, wherein the electronic circuit design is accessible by multiple users in an at least partially concurrent manner. Embodiments may also include implementing the change to the electronic circuit design at the client computing device without receiving authorization from a server computing device and transmitting the implemented change to the electronic circuit design to the server computing device.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: June 20, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Patrick Bernard, George Malcolm Buzzell, Sean Bergan, Frank X. Farmar
  • Patent number: 9514261
    Abstract: A method comprises: receiving a circuit design comprising networks of first devices fabricated by a first fabrication process; selecting second devices to be fabricated by a second process; substituting the second devices for the first devices in the networks of the circuit design; sorting the second devices within a selected one of the networks by device area from a largest device area to a smallest device area; and assigning each second device in the selected network to be fabricated in a respective tier of a plurality of tiers of a three dimensional integrated circuit (3D IC) for which a total area of second devices previously assigned to said respective tier is the smallest, the second devices being assigned sequentially according to the sorting.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: December 6, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Heng Kai Liu, Hui Yu Lee, Ya Yun Liu, Yi-Ting Lin
  • Patent number: 9141753
    Abstract: There is provided a method of placing a plurality of operational cells of a semiconductor device within a semiconductor layout, comprising determining timing data for each of the plurality of operational cells, determining switching activity from RTL or design constraints for each of the plurality of operational cells, determining power grid switch locations relative to each of the plurality of operational cells, deriving a cost function based upon the determined timing data, determined switching activity from RTL/design constraints and determined relative power grid switch locations and initially placing the plurality of operational cells according to the derived cost function.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: September 22, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Anton Rozen, Asher Berkovitz, Michael Priel
  • Patent number: 9129081
    Abstract: A system and method for synchronizing the display and edit of a plurality of connected layouts or documents within a single display. A first document or plurality of elements may be displayed as active and a second document or plurality of elements may be displayed as non-active background in a first window. The second document or plurality of elements may be displayed as active and the first document or plurality of elements may be displayed as non-active background in a second window. Any action detected in either window may be displayed in the other window. Upon selection of any active element or predefined net list, the elements physically or logically connected to the selected element or net list may be highlighted in the active documents, listed, or otherwise identified. An inter-document net list may identify connections between existing net lists in multiple documents.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: September 8, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnold Ginetti, Jean-Noel Pic
  • Patent number: 9122612
    Abstract: A method and apparatus for eliminating fetch cancels for inclusive caches are presented. Some embodiments of the apparatus include a first cache configurable to issue fetch or prefetch requests to a second cache that is inclusive of said at least one first cache. The first cache is not permitted to cancel issued fetch or prefetch requests to the second cache. Some embodiments of the method include preventing the first cache(s) from canceling issued fetch or prefetch requests to a second cache that is inclusive of the first cache(s).
    Type: Grant
    Filed: December 5, 2012
    Date of Patent: September 1, 2015
    Assignee: Advanced Micro Devices, Inc.
    Inventor: James Dundas
  • Patent number: 9081625
    Abstract: A method of reducing execution jitter includes a processor having several cores and control logic that receives core configuration parameters. Control logic determines if a first set of cores are selected to be disabled. If none of the cores is selected to be disabled, the control logic determines if a second set of cores is selected to be jitter controlled. If the second set of cores is selected to be jitter controlled, the second set of cores is set to a first operating state. If the first set of cores is selected to be disabled, the control logic determines a second operating state for a third set of enabled cores. The control logic determines if the third set of enabled cores is jitter controlled, and if the third set of enabled cores is jitter controlled, the control logic sets the third set of enabled cores to the second operating state.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: July 14, 2015
    Assignee: DELL PRODUCTS, L.P.
    Inventors: Michael Karl Molloy, Mukund P. Khatri, Robert Wayne Hormuth
  • Patent number: 9038013
    Abstract: Methods and apparatuses for circuit design are described. In one embodiment, the method comprises determining a distribution of nets of a circuit, the distribution of the nets comprising numbers of blocks that each of the nets has in each of a plurality of partitions of the circuit in a partitioning solution, moving a first block of the circuit from a source partition to a destination partition to modify the partitioning solution, and updating the distribution of the nets after the moving.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: May 19, 2015
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 9026975
    Abstract: A semiconductor integrated circuit designing method capable of minimizing a parasitic capacitance generated by an overhead in conductive lines, especially a gate line, a semiconductor integrated circuit according to the designing method, and a fabricating method thereof are provided. A method of designing a semiconductor integrated circuit having a FinFET architecture, includes: performing a pre-simulation of the semiconductor integrated circuit to be designed; designing a layout of components of the semiconductor integrated circuit based on a result of the pre-simulation, the components comprising first and second device areas and a first conductive line extending across the first and second device areas; modifying a first cutting area, that is arranged between the first and second device areas and electrically cuts the first conductive line, according to at least one design rule to minimize an overhead of the first conductive line created by the first cutting area.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-joong Song, Pil-un Ko, Gyu-hong Kim, Jong-hoon Jung
  • Patent number: 9021414
    Abstract: A method of designing a 3D Integrated Circuit, the method including: performing placement using a 2D placer, performing placement for at least a first strata and a second strata, and then performing routing and completing the physical design of said 3D Integrated Circuit.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: April 28, 2015
    Assignee: Monolithic 3D Inc.
    Inventors: Zvi Or-Bach, Zeev Wurman
  • Patent number: 9003340
    Abstract: A programmable analog tile integrated circuit is configured over a standardized bus by communicating tile configuration information from a first integrated circuit tile, through a second integrated circuit tile, to a third integrated circuit tile. Each of the three integrated circuit tiles is part of an integrated circuit. The standardized bus is formed when the tiles are placed adjacent one another. Data bus and control signal conductors of the adjacent tiles line up and interconnect such that each signal conductor is electrically connected to every tile. Tile configuration information may be written to a selected register identified by an address in any selected one of the tiles using the data bus and control lines, regardless of the relative physical locations of the tile sending and the tile receiving the information. Thus, tile configuration information may pass from one tile to another tile, through any number of intermediate tiles.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: April 7, 2015
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, Matthew A. Grant, Gary M. Hurtz, David J. Kunst, Trey A. Roessig
  • Patent number: 9003350
    Abstract: A computer implemented method for routing a net includes selecting, using one or more computer systems, a first spine routing track from a first multitude of routing tracks in accordance with a first cost function, and further in accordance with data associated with the net and the first multitude of routing tracks. The method further includes generating, using one or more computer systems, a first spine wire on the selected first spine routing track.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: April 7, 2015
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 9003338
    Abstract: One or more techniques or systems for incorporating a common template into a system on chip (SOC) design are provided herein. For example, a common template mask set is generated based on a first set of polygon positions from a first vendor and a second set of polygon positions from a second vendor. A third party creates a third party SOC design using a set of design rules generated based on the common template mask set. The common template is fabricated based on the third party SOC design using the common template mask set. Because the common template is formed using the common template mask set and because the common template mask set is based on polygon positions from both the first vendor and the second vendor, a part can be connected to the SOC regardless of whether the part is sourced from the first vendor or the second vendor.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: William Wu Shen, Yun-Han Lee, Chin-Chou Liu, Hsien-Hsin Lee, Chung-Sheng Yuan, Chao-Yang Yeh, Wei-Cheng Wu, Ching-Fang Chen
  • Patent number: 8990758
    Abstract: A method of designing a circuit is described. In an embodiment, a physical design implementation for the circuit is created using a plurality of entities. These entities are named “genomes”. Each entity includes a portion of a functional description of the circuit that has been synthesized into a gate-level implementation. An entity is selected to facilitate the physical design implementation meeting a plurality of design constraints. Several steps (e.g., beginning with selection of an entity) of this method are repeated several times to meet the design constraints. As a consequence, the physical design implementation provides more accurate information for use in a final physical design implementation. Moreover, the physical design implementation can be created faster than prior techniques while still allowing a global view of the physical design implementation in meeting design constraints.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: March 24, 2015
    Assignee: Mentor Graphics Corporation
    Inventors: Hermanus Arts, Paul van Besouw, Johnson Limqueco
  • Patent number: 8990754
    Abstract: Techniques for optimizing application specific integrated circuit (ASIC) and other IC pin assignment corresponding to a high density interconnect (HDI) printed circuit board (PCB) layout are provided. Applying the techniques described herein, pin assignments may be systematically and strategically planned, for example, in an effort to reduce the PCB layer count and associated cost, increase signal integrity and speed, reduce the surface area used by an ASIC and its support circuitry, reduce plane perforations, and reduce via crosstalk when compared to conventional designs with an ASIC mounted on a multilayered PCB.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: March 24, 2015
    Assignee: Cisco Technology, Inc.
    Inventors: Steven C. Bird, Linda M. Mazaheri, Bob Needham, Phuong Rosalynn Duong
  • Patent number: 8990755
    Abstract: Defective artifact removal is described in photolithography masks corrected for optical proximity. In one example a method is described in which partitions are identified in a mask design for independent optimization. The partitions are grouped and ordering into stages. The first stage is processed. Geometries are extracted from the periphery of the first stage partitions. The extracted geometries are added to the peripheries of second stage partitions. Then the second stage partitions are processed.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: March 24, 2015
    Assignee: Intel Corporation
    Inventors: John A. Swanson, Stephan Wagner
  • Patent number: 8978003
    Abstract: A method of making a semiconductor device includes arranging a first cell and a second cell, determining, by a processor, a first pattern density of a first cell, determining a second pattern density of a second cell, determining a pattern density gradient from the first pattern density to the second pattern density, determining whether the pattern density gradient exceeds a pattern density gradient threshold, and indicating a design change if the pattern density gradient exceeds than the pattern density gradient threshold.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Wan-Yu Lo, Chin-Chou Liu, Huan Chi Tseng
  • Patent number: 8972915
    Abstract: Effective timing and power characterization flows are described for asynchronous circuits. Verification can be provided for both relative-timing constraints as well as performance (e.g., throughput) targets using commercially-standard STA tools. Fully-automated scripts are developed that verify all relative timing assumptions (e.g., isochronic forks) as well as the gate-level performance of any circuit designed with these templates. Library characterization can be utilized and asynchronous logic cells not found in standard-cell libraries, such as dual-rail domino logic and dynamic C-elements with staticizers, can be characterized in terms of both their timing and power. These values are a function of both input slew and output load and are preferably captured in an industry standard format, such as the Liberty™ file format, before being compatible with commercial STA tools.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: March 3, 2015
    Assignee: University of Southern California
    Inventors: Mallika Prakash, Peter A. Beerel
  • Patent number: 8966426
    Abstract: A method comprises: receiving a circuit design comprising networks of first devices fabricated by a first fabrication process; selecting second devices to be fabricated by a second process; substituting the second devices for the first devices in the networks of the circuit design; sorting the second devices within a selected one of the networks by device area from largest device area to smallest device area; and assigning each second device in the selected network to be fabricated in a respective one of a plurality of tiers of a 3D IC for which a total area of second devices previously assigned to that tier is smallest, the second devices being assigned sequentially according to the sorting.
    Type: Grant
    Filed: October 18, 2013
    Date of Patent: February 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Heng Kai Liu, Hui Yu Lee, Ya Yun Liu, Yi-Ting Lin
  • Patent number: 8966428
    Abstract: A fixed-outline floorplanning approach for mixed-size modules is disclosed. Firstly, evenly distribute mixed-size circuit modules to whole chip area based on different requirements such as wire-length, routability, or thermal in the global distribution stage. To maintain the global distribution result and satisfy the fixed-outline constraint, generate a slicing tree by recursively applying partition algorithm to divide modules distributed in a given region into several sub-regions. Then, to remove overlap between circuit modules and find a best solution, use bottom-up shape curve merging and top-down back tracing procedure to generate a slicing tree. The shape curve for each leaf in the tree is built first by enumerated packing. Then, the curves in the tree are merged iteratively from bottom to top, and feasible solutions in the shape curve of the root node are identified according to the fixed-outline constraint. Finally, the best solution is determined by a top-down back tracing procedure.
    Type: Grant
    Filed: November 1, 2013
    Date of Patent: February 24, 2015
    Assignee: National Cheng Kung University
    Inventors: Chia-Min Lin, Kai-Chung Chan
  • Patent number: 8954901
    Abstract: Variation of a parameter of interest is reduced over a field of interest in, for example, an object design, such as a circuit design. The field of interest is divided into tiles. A parameter value is found for each tile and for a group of tiles around each tile. Using these values, variation of the parameter is determined. An adjusted value of the parameter for each tile is determined taking limits into account, iterating until variation is below a threshold value. Parameter uniformity is improved in some applications by over 30% with runtime reduced by an order of magnitude.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: February 10, 2015
    Assignee: International Business Machines Corporation
    Inventors: Pavan Y. Bashaboina, Brent A. Goplen, Howard S. Landis
  • Publication number: 20150020040
    Abstract: A method for the automatic design of an electronic circuit includes operations for evaluation of the thermal effects in the electronic circuit. The method generates a layout of the electronic circuit. Abstract data at the substrate level associated to the layout of the electronic circuit is then generated. A grid of partitioning is generated with respect to a view regarding the aforesaid abstract into meshes and nodes. The grid is applied to the substrate. On the basis of the grid (TG), a list of nodes or netlist representing a thermal network that represents the thermal behavior of the substrate or of its portions or elements is extracted. The netlist is useful in simulation operations, in particular of a SPICE type, for making an evaluation of thermal effects in the electronic circuit.
    Type: Application
    Filed: July 7, 2014
    Publication date: January 15, 2015
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Mattia Monetti, Alberto Balzarotti
  • Patent number: 8935642
    Abstract: In one embodiment of the invention, a method includes partitioning an integrated circuit design into a hierarchy of a top level and a plurality of partitions, wherein the top level includes a top level netlist and each partition includes a partition netlist; receiving data path timing budgets and clock path timing budgets for each of the plurality of partitions of the integrated circuit design; and generating a timing budget model of each partition in response to the respective data path timing budgets and clock path timing budgets, wherein each timing budget model includes an intra-partition clock timing constraint for each respective partition for independent implementation of the top level.
    Type: Grant
    Filed: December 15, 2012
    Date of Patent: January 13, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Oleg Levitsky, Dinesh Gupta
  • Patent number: 8935648
    Abstract: An embodiment may include at least one die produced, at least in part, from a wafer, and may include at least one integrated circuit and/or at least one other integrated circuit. These integrated circuits may be mutual replications of each other and may include respective core and additional blocks. Each respective core block may have an associated respective capability. As formed in the wafer, the respective additional blocks may be coupled together so as to permit the associated respective capabilities of the respective core blocks to be functionally combined to provide an increased capability relative to each of the associated respective capabilities considered separately, and also so as to permit the integrated circuits to be externally interfaced as a unified device. The wafer may be separable into respective dice including respective of the integrated circuits such that the integrated circuits include respective external interfaces. Many modifications are possible.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: January 13, 2015
    Assignee: Intel Corporation
    Inventor: Ygdal Naouri
  • Patent number: 8930859
    Abstract: Embodiments relate to a method of decomposing a layout of a semiconductor device. The method may include generating a pattern layout including first patterns and second patterns, generating an interference map for the pattern layout, the interference map including optical interference information regarding the first and second patterns, and decomposing the pattern layout into a first decomposition pattern layout including the first patterns, and a second decomposition pattern layout including the second patterns, based on the interference map. In the interference map, an influence of constructive interference on the first patterns may be greater than an influence of constructive interference on the second patterns.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: January 6, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Gon Jung
  • Patent number: 8916974
    Abstract: Methods and apparatus for routing signal paths in an integrated circuit. One or more signal routing paths for transferring signals of the integrated circuit may be determined. A dummy fill pattern for the integrated circuit may be determined based on the one or more metal density specifications and at least one design rule for reducing cross coupling capacitance between the dummy fill pattern and the routing paths. The signal routing paths and/or the dummy fill pattern may be incrementally optimized to meet one or more timing requirements of the integrated circuit.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: December 23, 2014
    Assignee: International Business Machines Corporation
    Inventors: Karan B. Koti, Veena Prabhu
  • Patent number: RE49781
    Abstract: A method of reducing execution jitter includes a processor having several cores and control logic that receives core configuration parameters. Control logic determines if a first set of cores are selected to be disabled. If none of the cores is selected to be disabled, the control logic determines if a second set of cores is selected to be jitter controlled. If the second set of cores is selected to be jitter controlled, the second set of cores is set to a first operating state. If the first set of cores is selected to be disabled, the control logic determines a second operating state for a third set of enabled cores. The control logic determines if the third set of enabled cores is jitter controlled, and if the third set of enabled cores is jitter controlled, the control logic sets the third set of enabled cores to the second operating state.
    Type: Grant
    Filed: March 12, 2020
    Date of Patent: January 2, 2024
    Assignee: Dell Products, L.P.
    Inventors: Michael Karl Molloy, Mukund P. Khatri, Robert Wayne Hormuth