With Partitioning Patents (Class 716/131)
  • Patent number: 8561000
    Abstract: Multiple level spine routing is disclosed. In some embodiments, in response to receiving a specification of a plurality of nets and a specification of a set of routing tracks available for main spines, a main spine routing track is assigned to each of the plurality of nets based at least in part on a cost function and main spine wires are generated on the assigned main spine routing tracks for each of the plurality of nets.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: October 15, 2013
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 8561002
    Abstract: Multiple level spine routing is disclosed. In some embodiments, in response to receiving a specification of a net comprising a set of pins, a first wire for routing the net is generated, the set of pins comprising the net is partitioned into one or more groups based at least in part on a cost function, a second wire that connects to the first wire is generated for each group of the net, and a third wire that connects each pin to the second wire of its group is generated for each pin of each group of the net.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: October 15, 2013
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 8555229
    Abstract: Solutions for optimizing an integrated circuit layout for implementation in an integrated circuit are disclosed. In one embodiment, a computer-implemented method is disclosed including: obtaining a plurality of hierarchical constraints in mathematical form, the plurality of hierarchical constraints defining a first integrated circuit layout; partitioning the plurality of hierarchical constraints into groups according to one or more partitioning rules; determining whether a boundary condition exists between two of the groups, and distributing a slack or a gap between the two of the groups in the case that the boundary condition exists; creating a plurality of integer linear programming problems associated with each of the groups; determining a solution for each of the plurality of integer linear programming problems; and integrating each solution together to form a second integrated circuit layout.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: October 8, 2013
    Assignee: International Business Machines Corporation
    Inventors: Xiaoping Tang, Michael S. Gray, Xin Yuan
  • Patent number: 8549461
    Abstract: A logically hierarchical netlist may be split along physical partition boundaries while retaining information on the logical hierarchy. Nets can be driven to higher levels of hierarchy in order to maintain connectivity and enable the original logical function. A mapping of nets can be created. During the design process merging of physical partitions may result in a new logically hierarchical netlist which retains the hierarchy of the original logically hierarchical netlist. The lowest common hierarchical ancestor (LCA) is identified and then the appropriate cells and nets are included during the merging process.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: October 1, 2013
    Assignee: Synopsys, Inc.
    Inventors: Eduard Petrus Huijbregts, Avijit Dey
  • Patent number: 8539412
    Abstract: A macro layout verification apparatus for verifying a layout of a macro to be placed as a functional block on a semiconductor device. The apparatus includes: a unit, which assumes as a virtual wiring line, a wiring line that uses an unused intra-macro channel located adjacent to an intra-macro wiring line; a unit which calculates a parallel wiring length along which the virtual wiring line and the intra-macro wiring line run; and a unit which outputs information concerning the virtual wiring line when the parallel wiring length exceeds a reference value defined as a design rule.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: September 17, 2013
    Assignee: Fujitsu Limited
    Inventors: Masashi Arayama, Sumiko Makino
  • Patent number: 8533652
    Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: September 10, 2013
    Assignee: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
  • Patent number: 8522185
    Abstract: Approaches for placement and routing of a circuit design are disclosed. Two or more modules of a circuit design are assigned to respective regions of a programmable integrated circuit. Placement and routing constraints are created for non-global resources of two or more modules of the circuit design. The placement and routing constraints restrict placement and routing of non-global resources of each of the two or more modules to respective regions of a programmable IC. Each non-global resource is used by at most one of the two or more modules. The two or more modules are placed. In response to the one of the placed circuit elements not being placed within the assigned region, the routing constraint on the one of the circuit elements is removed. The circuit design is routed.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: August 27, 2013
    Assignee: Xilinx, Inc.
    Inventor: Robert M. Balzli, Jr.
  • Patent number: 8516425
    Abstract: A system and method are provided for reducing signal skew. The method includes receiving a netlist having components and connections among the components. Each connection has at least one signal wire. A plurality of net groups is identified, each net group including at least some of the connections and for which equivalent routing is desired. For each net group, the method includes systematically routing connection paths between the components for the connections, each connection path extending between an output of one of the components and an input to at least one other of the components and including at least one path fragment. Routing includes, for at least one of the connections of the net group, routing at least one grounded shielding wire in a routing channel adjacent and parallel to at least one of the path fragments of the connection path.
    Type: Grant
    Filed: July 9, 2012
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Andrey Nikitin, Ranko Scepanovic, Igor Kucherenko, William Lau, Cheng-Gang Kong, Hui-Yin Seto, Andrej Zolotykih, Ivan Pavisic, Sandeep Bhutani, Aiguo Lu, Ilya Lyalin
  • Patent number: 8510703
    Abstract: A method and system for auto-routing wiring within a PCB. In some embodiment, a first broad region within a first layer and a counterpart first broad region within a second layer are defined. The counterpart regions define a first broad via location. In some embodiments, the first and second broad via locations of the first and second layers can then be subdivided into a plurality of triangular regions. The triangular regions on the first and second layers can then be compared to more accurately locate an appropriate via location.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: August 13, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, Richard Allen Woodward, Jr., Randall Lawson, Alan G Strelzoff, David Tsai, Steve Russo
  • Patent number: 8490042
    Abstract: One embodiment of the present invention provides a system that concurrently optimizes multiple routing objectives during routing of an integrated circuit (IC) chip design. During operation, the system starts by receiving a routing solution for the IC chip design and a set of routing objectives. The system then partitions the IC chip design into a set of partitions. Next, for each partition in the set of partitions, the system optimizes the routing solution by, iteratively: (1) analyzing the routing solution to determine weights for the set of routing objectives; (2) constructing a cost function based on the weights for the set of routing objectives; and (3) modifying the routing solution within the partition to attempt to optimize the cost function.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: July 16, 2013
    Assignee: Synopsys, Inc.
    Inventor: Tong Gao
  • Publication number: 20130167102
    Abstract: An adaptive patterning method and system for fabricating panel based package structures is described. Misalignment for individual device units in a panel or reticulated wafer may be adjusted for by measuring the position of each individual device unit and forming a unit-specific pattern over each of the respective device units.
    Type: Application
    Filed: February 25, 2013
    Publication date: June 27, 2013
    Applicant: DECA TECHNOLOGIES INC
    Inventor: DECA Technologies Inc.
  • Publication number: 20130152035
    Abstract: Embodiments of the claimed subject matter are directed to methods and a system that use a standardized grid of clock buffers to automatically route clocks according to a uniform clock grid throughout an ASIC of a non-uniform arrangement of non-uniformly sized logic partitions. According to one embodiment, clock sources and sinks are mapped to grid point locations and a novel grid routing process is performed to link them together. A clock routing macro is assigned to a corresponding partition and associated with the corresponding partition or logic unit according to a partition hierarchy. The underlying routing structure and resources of a clock routing macro are automatically renamed to correspond to the local partition in a script or schedule of programmed instructions, or a routing map. The position of blockages within a partition may also be detected and alternate routes for traversing the blockage may be preemptively determined as well.
    Type: Application
    Filed: February 8, 2013
    Publication date: June 13, 2013
    Applicant: NVIDIA CORPORATION
    Inventor: NVIDIA Corporation
  • Patent number: 8443326
    Abstract: A method for reordering scan chain segments of scan chains in an electronic circuit design includes identifying congestion areas on a congestion map. A routing preference for each congestion area is determined. Scan cells associated with each congestion area are formed into the scan chain segments and then the scan chain segments are re-ordered based on the routing preference of the corresponding congestion area.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: May 14, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vishal Gupta, Sarvesh Verma
  • Patent number: 8443323
    Abstract: Disclosed are improved methods, systems, and computer program products for implementing an I/O ring structure to generate an I/O ring arrangement for an electronic design, and for performing chip planning and estimation based upon the I/O ring arrangement. Nodes in the I/O ring structure are used to track objects in the I/O ring.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: May 14, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Miles P. McGowan, Thaddeus Clay McCracken
  • Patent number: 8443324
    Abstract: A method, system, and computer program product for improved routing using layer ranges in the design of an integrated circuit (IC) are provided in the illustrative embodiments. Using an application executing in a data processing system, a score is computed for a net in a set of nets routed using a set of layers in the design. The set of nets is sorted according to scores associated with nets in the set of nets. A layer range from a set of layer ranges is assigned to a net in the sorted list such that a net with a higher than threshold score is assigned a high layer range.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: May 14, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Shiyan Hu, Zhuo Li, Chin Ngai Sze
  • Patent number: 8438512
    Abstract: Disclosed is an improved method and system for implementing parallelism for execution of electronic design automation (EDA) tools, such as layout processing tools. Examples of EDA layout processing tools are placement and routing tools. Efficient locking mechanism are described for facilitating parallel processing and to minimize blocking.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: May 7, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: David Cross, Eric Nequist
  • Patent number: 8429589
    Abstract: A method of generating net routing constraints for nets of an IC design includes generating a file with hashes organized by nets. Each hash has attributes of a net, e.g. net name, length, fanout, total actual route (AR) resistance, a corresponding virtual route (VR) resistance, and a number of vias. A wire only AR resistance for each net can be calculated. Wire scaling factors can be calculated using the wire only AR resistances and their corresponding VR resistances. Wire scaling factors can be binned by one or more net characteristics. An average wire scaling factor can be calculated for each bin. Code used by a place and route tool can then be generated, wherein the code applies the average wire scaling factors to nets of the design to improve pre-route and post-route correlation.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: April 23, 2013
    Assignee: Synopsys, Inc.
    Inventors: Kevin Croysdale, Jason Upton
  • Patent number: 8429587
    Abstract: A method for decomposing a designed pattern layout and a method for fabricating an exposure mask using the same. After the designed pattern layout is automatically decomposed to obtain a plurality of mask layouts, a problematic region is determined through simulation of the mask layout, and fed back to correct the designed pattern layout. As a result, problems can be detected in each process and corrected to reduce the process time.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: April 23, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Kyun Kim
  • Patent number: 8418115
    Abstract: A method of component placement for a multi-die integrated circuit (IC) can include partitioning a plurality of components of a netlist among a plurality of dies of the multi-die IC and selecting a superimposition model specifying a positioning of at least two of the plurality of dies at least partially superimposed with respect to one another. The method also can include assigning, by a processor, components of the netlist to hardware units within each of the plurality of dies according, at least in part, to a wire-length metric calculated using the superimposition model.
    Type: Grant
    Filed: May 11, 2010
    Date of Patent: April 9, 2013
    Assignee: Xilinx, Inc.
    Inventors: Marvin Tom, Rajat Aggarwal, Srinivasan Dasasathyan
  • Patent number: 8413094
    Abstract: A method of increasing an initial threshold voltage (Vt) of selected devices. The method includes designing devices with desired antenna effects and adjusting an increase in Vt of some devices to specific values. The desired antenna effects produce a desired threshold voltage of the devices.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: April 2, 2013
    Assignee: International Business Machines Corporation
    Inventor: Lilian Kamal
  • Patent number: 8407647
    Abstract: A method for designing and making an integrated circuit is described. That method utilizes statistical models of wire segments to accurately estimate the expected length of minimum-length, orthogonal wire segments within a block. From these estimates, the method accurately estimates an ratio between the horizontal and vertical routing resources required, termed the “H/V Demand Ratio.” From the H/V Demand Ratio, an accurate estimate of the height and width of the block may be determined. Thereafter, placement and routing may be performed quickly and accurately, thereby allowing the block to be designed and manufactured quickly and cost effectively. A method for designing an integrated circuit with efficient metal-1 resource utilization is also described.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: March 26, 2013
    Assignees: Springsoft, Inc., Springsoft USA, Inc.
    Inventors: Fong-Yuan Chang, Sheng-Hsiung Chen, Tung-Chieh Chen, Ren-Song Tsay, Wai-Kei Mak
  • Patent number: 8402414
    Abstract: A computer-readable, non-transitory medium stores therein a design support program that causes a computer executing tentative wiring processing between a first terminal group and a second terminal group in a tentative wiring area to execute a process. The process includes detecting unwired nets occurring in the tentative wiring area consequent to the tentative wiring processing; updating the tentative wiring area by expanding the tentative wiring area according to the number of unwired nets, if any unwired nets are detected at the detecting; controlling to execute the tentative wiring processing and the subsequent detecting with respect to the tentative wiring area updated at the updating; and determining the tentative wiring area to be a wiring area if no unwired nets are detected at the detecting.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: March 19, 2013
    Assignee: Fujitsu Limited
    Inventors: Motoyuki Tanisho, Toshiyasu Sakata, Yoshitaka Nishio, Ikuo Ohtsuka, Kazunori Kumagai
  • Patent number: 8402418
    Abstract: Embodiments of the claimed subject matter are directed to methods and a system that use a standardized grid of clock buffers to automatically route clocks according to a uniform clock grid throughout an ASIC of a non-uniform arrangement of non-uniformly sized logic partitions. According to one embodiment, clock sources and sinks are mapped to grid point locations and a novel grid routing process is performed to link them together. A clock routing macro is assigned to a corresponding partition and associated with the corresponding partition or logic unit according to a partition hierarchy. The underlying routing structure and resources of a clock routing macro are automatically renamed to correspond to the local partition in a script or schedule of programmed instructions, or a routing map. The position of blockages within a partition may also be detected and alternate routes for traversing the blockage may be preemptively determined as well.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: March 19, 2013
    Assignee: NVIDIA Corporation
    Inventors: Clay Berry, Timothy J. McDonald
  • Patent number: 8397190
    Abstract: A hardware description language representation of an original circuit block containing one or more hierarchies may be obtained. Some, or all of the hierarchies may be dissolved to access each circuit component within the original circuit block at a same level of hierarchy. Designated circuit components may then be grouped together to create new circuit blocks at a new level of hierarchy. Components and signals within each new circuit block may be renamed to match logically corresponding components and signals within each other new circuit block. Missing pins may be added for each new circuit block, and connected to respective associated signals within the new circuit block, and logically equivalent pins may be given the same name to ensure the new circuit blocks are logically equivalent to each other and have identical interfaces.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: March 12, 2013
    Assignee: Apple Inc.
    Inventors: Robert D. Kenney, Raymond C. Yeung, Paul K. Miller, Donald W. Glowka, Jeffrey B. Reed
  • Patent number: 8392865
    Abstract: A pool of die designs includes die designs having metal programmable base layers. Die designs from the pool are selected for use in fabricating dies. Die designs are added to the pool by customization of die designs already in the pool or by preparing custom die designs that incorporate a metal programmable base layer. In some embodiments multi-tile dies are provided with I/O slots configurable for either inter tile communication or inter die communication.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: March 5, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Behnam Malekkhosravi, David Ian West
  • Patent number: 8386970
    Abstract: According an aspect of the invention, there is provided a design support system of a semiconductor integrated circuit includes: a first unit configured to determine a wiring path by calculating wiring resource consuming information for carrying out a connection through a multi-cut via in case that the connection is carried out through the multi-cut via in a wiring region having a plurality of layers; and a second unit configured to replacing a single-cut via into the multi-cut via.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: February 26, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Toshiaki Ueda
  • Patent number: 8375348
    Abstract: Disclosed are a method, apparatus, and computer program product to implement routing for double patterning lithography using colored space tiles. A three-phase routing scheme is employed, comprising a global router, a C-router, and a detail router. The C-router provides double patterning color seeding for routing tracks in the electronic design. The detail router employs space-tiles to perform double-patterning based routing for wires in the electronic design. Colored space tiles may be utilized to perform the detail routing.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: February 12, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Satish Samuel Raj, Jeffrey Scott Salowe
  • Patent number: 8370783
    Abstract: Systems and methods for interconnect planning which utilize probabilistic methodologies. One embodiment comprises a method for planning interconnect models in an integrated circuit design. Nets and a set of interconnect models that can be used to connect the pins of each net are first defined. For each net, the probability that each interconnect model will be used to connect the pins of the net is evaluated. Tiles in the integrated circuit design are then assigned probabilities indicating the likelihood that each of the interconnect models will traverse the tiles. A map is then generated to indicate probabilistic routing characteristics (e.g., probabilities of wire congestion, interconnect component congestion, power densities, interconnect model usage) based on the probabilities assigned to each of the tiles in the integrated circuit design. The map may then be output (e.g., printed or otherwise displayed) to a user or stored for later use.
    Type: Grant
    Filed: December 3, 2007
    Date of Patent: February 5, 2013
    Assignees: Kabushiki Kaisha Toshiba, International Business Machines Corporation
    Inventors: Taku Uchino, Alvan Ng
  • Patent number: 8370776
    Abstract: A method for designing a system on a target device includes compiling an intellectual property (IP) core to be implemented on the target device such that it satisfies user specified requirements for the system. User logic is compiled after the IP core has been compiled to satisfy user specified requirements for the system.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: February 5, 2013
    Assignee: Altera Corporation
    Inventors: Kevin Chan, Terry Borer
  • Patent number: 8356264
    Abstract: A method, system and computer program product for implementing enhanced clock tree distributions to decouple across N-level hierarchical entities of an integrated circuit chip. Local clock tree distributions are constructed. Top clock tree distributions are constructed. Then constructing and routing a top clock tree is provided. The local clock tree distributions and the top clock tree distributions are independently constructed, each using an equivalent local clock distribution of high performance buffers to balance the clock block regions.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: January 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Mark R. Lasher, Daniel R. Menard, Phillip P. Normand
  • Patent number: 8327313
    Abstract: A re-routing method for a circuit diagram includes the following steps. At least one pair of the signal lines is obtained from a routed circuit diagram. The routed circuit diagram is adapted to be laid out on a substrate of a Printed Circuit Board (PCB). The substrate includes warp wires and weft wires. At least one pair of the signal lines includes two signal lines in parallel. The pair of signal lines includes several pairs of line segments. It is determined whether at least one pair of parallel line segments exists in the pairs of line segments parallel to the warp or weft wires. If at least one pair of parallel line segments exists, at least one pair of parallel line segments on the routed circuit diagram is replaced with several pairs of 10-degree lines. Respective angle between the 10-degree lines and the warp or weft wires are 10 degrees.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: December 4, 2012
    Assignee: Inventec Corporation
    Inventor: Hsiang-Yi Hsieh
  • Patent number: 8327305
    Abstract: A circuit and methods for placing a circuit block on an integrated circuit (IC) are disclosed. An embodiment of the disclosed method includes dividing the IC into multiple regions based on pre-determined value. This pre-determined value may be a voltage drop value measured on specific regions on the IC. The performance requirement for the circuit block is determined and placed in one of the regions on the IC. In one embodiment, the placement of the circuit block is based on the performance requirement and the measured value at specific regions on the IC. The measured value may be a voltage drop value and a circuit block with a higher performance may be placed in a region with a lower voltage drop value.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: December 4, 2012
    Assignee: Altera Corporation
    Inventors: Woi Jie Hooi, Teik Wah Lim, Ket Chiew Sia
  • Patent number: 8316331
    Abstract: An improved method and system for stitching one or more islands of an integrated circuit design is disclosed. Multiple connected island objects in the IC design are first identified. At least one of the multiple identified connected island objects is then modified to form a modified island object. The modified island object may then be stitched into the multiple identified connected island objects. In some embodiments, stitching a modified island object may be implemented by tracking the endpoint(s), port(s), or node(s) of the connected island object being modified and stitched.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: November 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Eric Nequist, Richard Brashears, Matthew A. Liberty, Michael C. McSherry
  • Patent number: 8316342
    Abstract: A method of interconnecting a first plurality of electronic components and a second plurality of electronic components to form an electronic circuit includes exporting a first netlist representing a first interconnection of the first electronic components in a first design entry tool, exporting a second netlist representing a second interconnection of the second electronic components in a second design entry tool, providing at least a first interface from the second plurality to the first plurality in the second design entry tool, populating the first interface through the first design entry tool, and exporting a third netlist representing the first interface from the second plurality to the first plurality from the second design entry tool, wherein the third netlist stitches the first netlist to the second netlist.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: November 20, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Kukal, Chris Cheung, Vikas Kohli, Keith Felton, Frank X. Farmar, Steven R. Durrill
  • Patent number: 8312399
    Abstract: Over the years, parallel processing has become increasingly common. Conventional circuit simulators have not taken full advantage of these developments, however. Here, a circuit simulator and system are provided that partitions circuit matrices to allow for more efficient parallel processing to take place. By doing this, the overall speed and reliability of the circuit simulator can be increased.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: November 13, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Gang Peter Fang, Ning Dong, Zhongze Li
  • Patent number: 8312408
    Abstract: A layout region in which a wiring pattern and a special pattern are placed is divided into division regions. The minimum pitch for the special pattern is larger than the minimum pitch for the wiring pattern. With respect to each division region, the special pattern included in a predetermined region surrounding the each division region is extracted as a peripheral pattern, and a dummy pattern placement region included in the each division region is determined. The dummy pattern placement region is apart from at least one of boundaries between adjacent division regions. A dummy pattern is added in the dummy pattern placement region with avoiding a design rule error with the peripheral pattern existing around the each division region. Then, the plurality of division regions to which the dummy pattern is added are coupled with each other.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: November 13, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Daishin Itagaki
  • Patent number: 8312409
    Abstract: A method is described that includes: determining that nets of the circuit design comprise overlap, where the overlap indicates that at least two of the nets of the circuit design use a same routing resource; dividing the nets with overlap among a plurality of buckets, where for each bucket, a net of the bucket does not overlap any other net in the bucket; sequentially processing each bucket by unrouting and rerouting, via at least one processor, nets in the bucket; and storing routing information specifying routes for nets of the circuit design.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: November 13, 2012
    Assignee: Xilinx, Inc.
    Inventors: Gitu Jain, Vinay Verma, Taneem Ahmed, Sandor S. Kalman, Sanjeev Kwatra, Christopher H. Kingsley, Jason H. Anderson, Satyaki Das
  • Patent number: 8307325
    Abstract: According to one embodiment, a design method of a semiconductor integrated circuit is a design method of a semiconductor integrated circuit including a first wiring layer, a second wiring layer formed on the first wiring layer, and a third wiring layer formed on the second wiring layer. This method includes a process in which plural spare wirings are arranged on the second wiring layer along a first direction, and plural spare wirings are arranged on the third wiring layer in a second direction orthogonal to the first direction. The method also includes a process of arranging a cell on the first wiring layer after the arrangement of the spare wirings, a process of arranging a signal wiring on at least any one of the first to the third wiring layers after the arrangement of the cell, and a process of performing an engineering change order of the wiring by using the spare wirings.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: November 6, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroaki Yamaoka
  • Patent number: 8296709
    Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: October 23, 2012
    Assignee: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
  • Patent number: 8291366
    Abstract: A routing system is improved by performing three steps sequentially to complete an execution process. The first step estimates a normalized criticality score for each design net. The second step arranges the scores for each design net in descending order. Third step rips up and reroutes the design so as to make it more feasible.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: October 16, 2012
    Assignee: STMicroelectronics PVT Ltd
    Inventors: Himanshu Srivastava, Jyoti Malhotra
  • Patent number: 8286117
    Abstract: A macro layout verification apparatus for verifying a layout of a macro to be placed as a functional block on a semiconductor device. The apparatus includes: a unit, which assumes as a virtual wiring line, a wiring line that uses an unused intra-macro channel located adjacent to an intra-macro wiring line; a unit which calculates a parallel wiring length along which the virtual wiring line and the intra-macro wiring line run; and a unit which outputs information concerning the virtual wiring line when the parallel wiring length exceeds a reference value defined as a design rule.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: October 9, 2012
    Assignee: Fujitsu Limited
    Inventors: Masashi Arayama, Sumiko Makino
  • Patent number: 8271925
    Abstract: A decoupling capacitor pin position information obtain unit calculates based on board design data of a printed board, position information indicating positions of decoupling capacitors on the printed board. A power supply plane position/shape information obtain unit calculates based on the board design data, position/shape information indicating a position and shape of a power supply plane of the printed board. A restriction condition input unit collects restriction conditions from an input device. A decoupling capacitor examination unit judges based on the position information, the position/shape information and the restriction conditions, whether or not arrangement of the decoupling capacitors is adequate. Therefore, a designer, while designing arrangement/wiring of the printed board, can check in real time whether or not the arrangement of the decoupling capacitors is adequate, and thus can design at higher speed a printed board in which arrangement of decoupling capacitors is adequate.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: September 18, 2012
    Assignee: NEC Corporation
    Inventor: Naoki Kobayashi
  • Patent number: 8266570
    Abstract: Some embodiments provide techniques and systems for improving the efficiency of area recovery in an electronic design automation (EDA) flow. During operation, the system determines a utilization of a region from a set of regions in a design floorplan. Next, the system performs area recovery (e.g., by using a processor) on the region based at least on the utilization. Specifically, the system can overlay the design floorplan with a grid, wherein the grid comprises a set of grid cells and uses the grid cells as the set of regions. The grid can be associated with a predetermined number of rows and a predetermined number of columns. The system can determine the utilization of the region by calculating the utilization as a cell area of the region divided by a placement area of the region. The utilization can be incrementally calculated during the creation and optimization of the design.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: September 11, 2012
    Assignee: Synopsys, Inc.
    Inventors: Robert Walker, Mahesh A. Iyer
  • Patent number: 8255841
    Abstract: Optical proximity correction techniques performed on one or more graphics processors improve the masks used for the printing of microelectronic circuit designs. Execution of OPC techniques on hardware or software platforms utilizing graphics processing units. GPUs may share the computation load with the system CPUs to efficiently and effectively execute the OPC method steps.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: August 28, 2012
    Assignee: Gauda, Inc.
    Inventors: Ilhami H. Torunoglu, Ahmet Karakas
  • Patent number: 8255855
    Abstract: Some embodiments of the present invention provide a system that routes nets over circuit blocks in a hierarchical circuit design. During operation, the system can receive a set of circuit blocks. At least some terminals of the circuit blocks may be desired to be electrically linked together using a net which is expected to be routed over one or more circuit blocks. The system may divide an area associated with a block (e.g., an area in a metal layer which is situated above the block) into a set of tiles. Next, the system may assign costs to at least some of the tiles in the set of tiles. The system can then use the costs during routing. Note that using the costs of the tiles during routing makes it more likely that buffers can be used wherever required to meet slew and timing requirements.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: August 28, 2012
    Assignee: Oracle America, Inc.
    Inventors: Yi Wu, Dajen Huang, Kalon S. Holdbrook
  • Patent number: 8255847
    Abstract: A method for designing a system on a target device is disclosed. The system is synthesized. The system is partitioned into a plurality of logical sections utilizing information derived from synthesizing the system and prior to performing placement of the system on the target device. Other embodiments are described and claimed.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: August 28, 2012
    Assignee: Altera Corporation
    Inventors: Scott James Brissenden, Paul McHardy
  • Patent number: 8250513
    Abstract: In one embodiment, a method for routing of a circuit design netlist is provided. A processing cost is determined for each net in the netlist. A plurality of regions are defined for the target device such that the total processing costs of nets are balanced between the plurality of regions. Concurrent with routing one or more nets of a first one of the plurality of regions, one or more nets are routed in at least one other of the plurality of regions. Synchronization and subsequent routing are performed for unrouted nets of the netlist.
    Type: Grant
    Filed: November 4, 2010
    Date of Patent: August 21, 2012
    Assignee: Xilinx, Inc.
    Inventors: Vinay Verma, Gitu Jain, Sanjeev Kwatra, Taneem Ahmed, Sandor S. Kalman
  • Patent number: 8250514
    Abstract: A routing method for a multilayer circuit design layout that has a set of possible preferred local routing directions and a default preferred routing direction for each layer. The method receives a set of user specified constraints on routing directions for particular regions of the design layout. The method tessellates the available routing space into separate tiles and automatically defines a preferred local routing direction for each tile based on the user specified constraints. The set of user specified constraints includes user designated flows, locked etches, “etch keep-out” areas, user “planned” data, etc. A routing method for a multilayer design layout that receives a first set of user specified preferred routing directions for particular regions of the multilayer design layout. The method tessellates the available routing space into separate tiles and automatically defines a second preferred local routing direction for each tile based on the user specified preferred routing directions.
    Type: Grant
    Filed: July 13, 2006
    Date of Patent: August 21, 2012
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, Randall Lawson, Jelena Radumilo-Franklin
  • Patent number: 8239809
    Abstract: A 3-dimensional integrated circuit designing method includes forming a temporary layout region for an original integrated circuit on an XY plane, the plane being short in an X direction and long in a Y direction perpendicular to the X direction, dividing the temporary layout region into 2N (N is an integral number of not smaller than 2) or more subregions in the Y direction, configuring one block for every successive N subregions to prepare a plurality of blocks, and forming N layers of layout by alternately folding each of the blocks in the Y direction in units of one subregion to selectively set a kN-th (k is an integral number not less than 1) subregion and (kN+1)th subregion of each block to one of an uppermost layer and lowermost layer.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: August 7, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shinobu Fujita
  • Patent number: 8239813
    Abstract: A system and method are provided for reducing the signal delay skew is disclosed, according to a variety of embodiments. One illustrative embodiment of the present disclosure is directed to a method. According to one illustrative embodiment, the method includes receiving an initial netlist having components and connection paths among the components; identifying a first connection path in the initial netlist that comprises path fragments for which there are no equivalent path fragments in a second connection path in the initial netlist; generating a skew-corrected netlist wherein the second connection path is re-routed to have path fragments equivalent to the path fragments of the first connection path; and outputting the skew-corrected netlist.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: August 7, 2012
    Assignee: LSI Corporation
    Inventors: Andrey Nikitin, Ranko Scepanovic, Igor Kucherenko, William Lau, Cheng-Gang Kong, Hui-Yin Seto, Andrej Zolotykih, Ivan Pavisic, Sandeep Bhutani, Aiguo Lu, Ilya Lyalin