Layout Editor (with Eco, Reuse, Gui) Patents (Class 716/139)
  • Patent number: 8645901
    Abstract: Graphical viewing of shapes and descriptive information in displayed graphical images. In one aspect, shape information is displayed in a graphical interface using a computer system and includes causing a display of an image and one or more shapes in the image, and causing a display of a cursor. Labels are also displayed, each of the labels associated with a different one of the displayed shapes. The plurality of labels are displayed within a predetermined zone relative to a displayed cursor, and wherein no labels are displayed outside of the zone.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: February 4, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chayan Majumder, Donald J. O'Riordan, Shagufta Siddique
  • Patent number: 8645899
    Abstract: The present disclosure is directed to a method for extracting information for a circuit design. The method may utilize an incremental extraction process for extracting information for a portion of the circuit design. Extracted results of this portion may be merged with a previously extracted result to obtain an integrated result that is equivalent to that of extracting the entire circuit design. The incremental extraction process may identify a set of changed shapes, a set of affected shapes, and a set of involved shapes for extraction. The method may also divide the circuit design into a plurality of regions, wherein two or more regions may be processed in parallel.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: February 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: David J. Widiger, Ronald D. Rose, Sandy K. Kao, Lewis W. Dewey, III, Gerald F. Plumb
  • Patent number: 8645902
    Abstract: Various embodiments provide a constraint-driven environment to interactively determine coloring of layout components when the layout components are being modified or created and to provide feedback with visual aids to users in nearly real-time. Layout components are thus appropriately assigned to respective mask designs upon their creation. Various embodiments check or verify various constraints during creation or modification of layout components, and the layout thus remains design rule clean as constructed. Some embodiments use data structure(s) including information associated with mask identifications of objects of a cluster to change some mask identifications without considering any of the constraints governing these mask identifications. Some embodiments further determine the mask identification for an object based at least in part on whether object splitting and stitching is permitted.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: February 4, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Henry Yu, Jeffrey Markham, Min Cao, Roland Ruehl
  • Patent number: 8640079
    Abstract: Searching and/or replacing graphical objects of a design using a computer system. In one aspect of the inventions, a method includes searching a graphical design for all matching instances of graphical objects that match a search pattern. A graphical replacement pattern is received and caused to be displayed based on user input, and the matching instances in the graphical design are replaced with the graphical replacement pattern. At least one result of the replacement of the matching instances is caused to be displayed on a display device.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: January 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chayan Majumder, Sagufta Siddique
  • Patent number: 8640078
    Abstract: Searching for graphical objects of a design using a computer system. In one aspect of the inventions, a method includes defining a graphical search pattern based on input received from a user in a graphical interface displayed on a display device, where the search pattern is a graphical object and is defined with a plurality of types of characteristics. The graphical design is searched for all matching instances of graphical objects in the design that match the search pattern and match the characteristics specified by the search pattern. At least one of the matching instances is caused to be displayed on the display device as a result of the searching.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: January 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chayan Majumder, Sagufta Siddique
  • Patent number: 8638120
    Abstract: Aspects of the invention provide for improving a success rate of an engineering design change (ECO) for an integrated circuit. In one embodiment, aspects of the invention include a method for improving a success rate of an engineering design change (ECO) for an integrated circuit, including: identifying a plurality of spare latches within the integrated circuit; determining an input driver for each of the spare latches; and replacing each input driver with a programmable gate array, such that the programmable gate array is programmed to a functionality of the input driver.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Ashish Jaitly, Sridhar H. Rangarajan, Thomas E. Rosser
  • Patent number: 8640081
    Abstract: Techniques for determining resistances of analog routes in electronic designs are described herein. In an example embodiment, a computer system receives first user input that indicates, in a user interface, a first component in an electronic design. The electronic design has been placed and routed for a programmable target device. The computer system receives second user input that selects, in the user interface, a particular component from one or more second components of the electronic design, where the one or more second components have analog connectivity to the first component. The computer system determines a resistance value of an analog route between the first component and the particular component, and displays the resistance value in association with the analog route in the user interface.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: January 28, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Mark Hastings, Chris Keeser
  • Patent number: 8640080
    Abstract: Disclosed is a method and system for visualizing pin access locations on an integrated circuit design. Visual feedback is provided to a user that is attempting to connect a wire or a via to a pin structure polygon on the integrated circuit design. The visual feedback comprises any visual cue that provides an indication of a legal location to access the pin.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 28, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey S. Salowe, Satish Raj
  • Patent number: 8635567
    Abstract: A method of circuit design includes receiving a user input selecting a first interface of a circuit block of a circuit design as a source interface in creating a connection within the circuit design and selecting a second interface of the circuit design as a candidate destination interface for the connection using a processor. The method further includes determining compatibility between the second interface and the first interface and indicating compatibility of the second interface with the first interface for the connection.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: January 21, 2014
    Assignee: Xilinx, Inc.
    Inventors: Shay P. Seng, Krishnan Subramanian, Robert E. Shortt
  • Patent number: 8635572
    Abstract: Circuits, architectures, a system and methods for providing multiple power rails to a plurality of standard cells in a region of an integrated circuit. The circuitry generally includes a plurality of cells configured for connection to a first or second power rail, the first power rail providing a first voltage to at least one of the plurality of cells, and the second power rail providing a second voltage (which may be independent from the first voltage) to remaining cells in the plurality of cells. The method generally includes routing, in an IC layout, a first power rail providing a first voltage and a second power rail providing a second voltage, placing the plurality of cells, and selectively connecting first and second subsets of the plurality of cells to the first and second power rails, respectively. The present invention further advantageously minimizes regional layout design considerations and time delays.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: January 21, 2014
    Assignee: Marvell International Ltd.
    Inventors: Jianwen Jin, Eugene Ye
  • Patent number: 8635583
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: January 21, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8635577
    Abstract: A design tool can automatically improve timing of nets of a fully routed physical design solution. Nets of a netlist are evaluated against a plurality of re-routing criteria to identify the nets that satisfy at least one of the plurality of re-routing criteria. For each of the nets that satisfy at least one of the plurality of re-routing criteria: several operations are performed. The net is globally re-routed to determine a new global route for the net. Those of the nets that are within a given distance of the new global route are identified. The net is detail re-routed in accordance with the new global route without regard to those of the nets within the given distance of the new global route. Those of the nets within the given distance of the new global route are re-routed after completion of the detailed re-routing of the net.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael Anthony Kazda, Zhuo Li, Gi-Joon Nam, Ying Zhou
  • Patent number: 8635582
    Abstract: A background process installs a system hook for message interception of integrated circuit chip layout display software. A call message is intercepted through the system hook, and current layout coordinates are read from the integrated circuit chip layout display software. A representation of the current layout coordinates is entered into tool control software configured to control a physical tool for analyzing integrated circuits, and the physical tool is controlled with the tool control software. In an “inverse” approach, a background process is used to install at least one system hook for message interception of tool control software configured to control a physical tool for analyzing integrated circuits, and a call message is intercepted through the system hook. Current coordinates are read from the tool control software. A representation of the current coordinates is entered into integrated circuit chip layout display software, and at least a portion of an integrated circuit layout is displayed.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Franco Stellari, Peilin Song
  • Patent number: 8630824
    Abstract: An executable circuit design is used to generate waveforms, from which behaviors of the circuit are captured. The behaviors and various combinations thereof can then be saved in a database, along with descriptions and other metadata about them, thereby generating a behavioral index of the circuit design code. Behavioral indexing of circuit designs allows a user to maintain an indexed behavior database, track changes in behaviors as the circuit design's executable description evolves, and figure out how the executable description can be reused in different projects. When applied to digital design development, it facilities the current design and verification effort, as well as design reuse down the line.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: January 14, 2014
    Assignee: Jasper Design Automation, Inc.
    Inventors: Chung-Wah Norris Ip, Kathryn Drews Kranen, Rajeev Kumar Ranjan, Beth C. Isaksen, Karl Stefan Esbjörner, Craig Franklin Deaton
  • Patent number: 8631365
    Abstract: The memory building blocks can be used in conjunction with ASIC automatic design tools to generate a memory macro (e.g., a memory array) using a known ASIC design flow including, for example, register transfer level (RTL), synthesis, automatic place and route (APR) and timing analysis.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Subramani Kengeri, Chung-Cheng Chou, Bharath Upputuri, Hank Cheng, Ming-Zhang Kuo, Pey-Huey Chen
  • Patent number: 8631379
    Abstract: Various embodiments of the invention provide techniques to ensure a layout for an integrated circuit is split-able. In a method embodiment, a layout is generated in a customer site having a layout library as inputs wherein the library provides exemplary layouts that have been verified to be spit-able and that can be used and layouts that can cause conflicts to avoid. A real-time odd cycle checker is also provided in which the checker identifies in real time conflict areas and odd cycles as they arise during layout generation. To reduce memory usage layouts of various devices may be separated so that each individual layout or a small number of layouts, rather than a large layout for the whole application circuit, can be checked against conflicts. Once the layout is ready at the customer site, it is sent to the foundry site to be decomposed into two masks and taped-out. Other embodiments are also disclosed.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: January 14, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pi-Tsung Chen, Ming-Hui Chih, Ken-Hsien Hsieh, Wei-Long Wang, Wen-Chun Huang, Ru-Gun Liu, Tsai-Sheng Gau, Wen-Ju Yang, Gwan Sin Chang, Yung-Sung Yen
  • Patent number: 8627240
    Abstract: Methods for integrated electronic and photonic design include laying out electronic and photonic design components in a design environment; adjusting photonic components according to photonic design requirements using a processor; checking design rules for electronic and photonic components according to manufacturing requirements; and adjusting component positioning and size to reconcile conflicts between electronic and photonic components.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: January 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Emrah Acar, Michael P. Beakes, William M. Green, Jonathan E. Proesel, Alexander V. Rylyakov, Yurii A. Vlasov
  • Patent number: 8612924
    Abstract: A computer-readable medium storing a support program that causes a computer to execute operations, the operations including reading out, from a memory that stores arrangement information on a component on a circuit and analysis information indicating a result of an analysis on the component, the arrangement information on the component and generating image information, reading out the analysis information that is information related to whether or not an examination is to be carried out with respect to the component from the memory, and changing a display attribute of the component which is included in the image information in accordance with the analysis information.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: December 17, 2013
    Assignee: Fujitsu Limited
    Inventor: Akira Arata
  • Patent number: 8612923
    Abstract: Methods, systems, computer program products for editing electrical circuits that facilitate and speed the layout of electrical circuits. Embodiments provide high-altitude editing capabilities to the user that enable the user to more easily select circuit items in congested layouts and schematic diagrams, and modify and arrange circuit items with respect to one another in congested layouts and schematic diagrams. Additional embodiments are directed to enabling EDA commands and the like to have context sensitivity, neighborhood awareness, and/or an ability to anticipate intentions of the user.
    Type: Grant
    Filed: June 22, 2009
    Date of Patent: December 17, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rajan Arora, Chayan Majumder, Sandipan Ghosh, Anil Kumar Arya
  • Patent number: 8612922
    Abstract: Techniques are provided to allow users of Electronic Design Automation (EDA) tools to define constraint template types for efficiently associating constraints with design objects to specify design intent. Processes for creating and validating the constraints are provided by user-defined plug-ins, thereby allowing users to annotate circuit designs with constraints for proprietary layout and fabrication processes without exposing the constraints to tool vendors or competitors. The constraints are re-created from the template types whenever the circuit design is loaded into the EDA tool, so that the constraints are based upon the latest version of the template type. The constraints are also re-created when validation fails. Constraint groups are reusable in different designs, technology nodes, and processes because they automatically expand to provide the specific constraints to achieve a goal.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: December 17, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: George B. Arsintescu
  • Patent number: 8607182
    Abstract: A method of fast analog layout migration from an original layout is disclosed. Various placement constraints, including topology, matching and symmetry are extracted from the schematic or netlist as well as the original layout. In addition, relative placement patterns are extracted from the original layout for matching and symmetry constraints. A constraint hierarchy tree can be built according to the constraints, and relative placement patterns are attached accordingly. By using the constraint hierarchy tree, multiple new placement results are efficiently explored that preserve the relative placement patterns for matching and symmetry constraints.
    Type: Grant
    Filed: May 21, 2012
    Date of Patent: December 10, 2013
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Tung-Chieh Chen, Hung-Ming Chen, Yi-Peng Weng
  • Patent number: 8601406
    Abstract: A method of generating a photo mask layout includes providing a first photo mask layout including main patterns and sub-resolution assist features (SRAF) patterns, defining a plurality of mesh cells by dividing the first photo mask layout into regions, generating a rule based table including correction information for correcting defects in the SRAF patterns for at least one of the plurality of mesh cells, and correcting the SRAF patterns by applying values of the correction information to the SRAF patterns corresponding to each mesh cell.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: December 3, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ju-mi Bang
  • Patent number: 8595672
    Abstract: The invention relates to methods and devices to define and control the design of a configurable chip module, instrument or systems, for example, for measurement, control and communication systems or any portion thereof. The module may include one or more chip elements. This can be achieved using, for example, a Graphical User interface (GUI), that transforms selections made by the user to a hardware and/or software configuration for the system in a process transparent to the user. This enables implementation of a plurality of devices and larger subsystems on a chip or chip module without specific semiconductor design knowledge from the user. This transformation process is thus accomplished transparently to the user, who operates the GUI to define the measurement or action which needs to be performed thereby resulting in an automatic combination of hardware and/or software elements available to create a specific configuration.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: November 26, 2013
    Assignee: Innovations Holdings, L.L.C.
    Inventor: Ewa Herbst
  • Patent number: 8595684
    Abstract: A method is provided for generation of a circuit design. A set of design assistance rules is retrieved from a database. Each design assistance rule in the set includes a list of design objects to which the design assistance rule applies, a set of criteria to be satisfied by the circuit design before the design assistance rule may be applied, a set of configuration options, and an executable script configured to perform an automated configuration of the circuit design. In response to a change in the circuit design, applicable design assistance rules are determined based on the corresponding sets of criteria. In response to determining that one or more design assistance rules are applicable, data indicating that the one or more design assistance rules are available is output. In response to input that selects a design assistance rule the executable script corresponding to the selected design assistance rule is executed.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: November 26, 2013
    Assignee: Xilinx, Inc.
    Inventors: Shay P. Seng, Amit Kasat
  • Patent number: 8589838
    Abstract: A method for designing a system on a target device includes merging a netlist for a first partition of the system generated from a bottom-up design flow with a netlist for a second partition of the system from a top-down design flow to form a combined netlist, and performing fitting on the combined netlist.
    Type: Grant
    Filed: July 10, 2012
    Date of Patent: November 19, 2013
    Assignee: Altera Corporation
    Inventors: Terry Borer, Andrew Leaver, David Karchmer, Gabriel Quan, Stephen D. Brown
  • Patent number: 8584069
    Abstract: A design support method executed by a computer includes: detecting a layout position of a first terminal in a cell as a first layout position from layout data including a cell of a macro which is arranged at a plurality of orientations, the first terminal being arranged at a first orientation; calculating a second layout position of a first terminal which is arranged at a second orientation which is different from the first orientation based on a variation from the first orientation to the second orientation and the first layout position; associating the second layout position with the first layout position and the layout data; and outputting an association result.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: November 12, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kenichi Ushiyama, Shigenori Ichinose, Kenji Suzuki, Kenji Kumagai, Takafumi Miyahara, Shuji Tanahashi, Hideto Fukuda
  • Patent number: 8584068
    Abstract: A storage medium for use in a computer to develop a circuit design. The storage medium recording a software tool that may be readable and executable by the computer. The software tool generally includes the steps of (A) receiving a first user input that identifies a specific cell of a plurality of existing cells in the circuit design, the specific cell having a timing characteristic, (B) generating a replacement display corresponding to the specific cell, the replacement display comprising a plurality of alternate cells suitable to replace the specific cell, each of the alternate cells having a different value associated with the timing characteristic of the specific cell, (C) receiving a second user input that identifies a replacement cell of the alternate cells and (D) automatically generating a first engineering change order to replace the specific cell with the replacement cell.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: November 12, 2013
    Assignee: LSI Corporation
    Inventors: Matthias Dinter, Juergen Dirks, Herbert Johannes Preuthen
  • Patent number: 8584077
    Abstract: A method for operating a computer system to generate a layout of a device and a computer-readable medium containing instructions that cause a computer system to carry out that method are disclosed. The computer system has a display that includes a display area. The computer system provides a list of objects and creates user selected objects from the list for inclusion in the display area. The computer assigns one of a plurality of operating modes for each connectivity object in the layout. The computer generates a Net assignment for each connectivity object that is not forced to have a specific Net assignment and for which automatic assignment of a Net is allowed. The computer generated assignment depends on the operating mode associated with that connectivity object. The operating mode of at least one of the connectivity objects can be altered by input from a user of said computer system.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: November 12, 2013
    Assignee: Agilent Technologies, Inc.
    Inventors: Arbind Kumar, John Robert Lefebvre, II, Krishna Kumar Banka, Peter Niday
  • Patent number: 8578322
    Abstract: A method to create an integrated circuit that includes digital and analog components comprising: displaying on a computer system display, user input to the computer system that specifies parameter information to determine a binding between an analog circuit design component and a digital circuit design component; saving the user specified parameter information within a file that also specifies at least a portion of the analog circuit design; associating the analog circuit design component a first design block of an integrated circuit that also includes a second digital design block coupled to the first design block; using parameter information to determine a binding between the first analog circuit design component and the first digital circuit design component; saving the determined binding in computer readable storage media.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: November 5, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Pranav Bhushan, Chandrashekar L. Chetput, Timothy Martin O'Leary
  • Patent number: 8578316
    Abstract: A method of automatically generating structure files employing a full structure generator automated program is provided. An annotated device layout file is generated from a design layout by annotating the codes for design shapes with additional text representing the functionality of a physical structure associated with each design shape. Functioning individual semiconductor devices are identified from the annotated device layout file, and a circuit area including multiple interconnected semiconductor devices are identified. A front-end-of-line (FEOL) device structure file and a back-end-of-line (BEOL) device structure file are generated from layer by layer analysis of the components of the annotated device layout within the circuit area. Finite element meshes (FEMs) are generated for the FEOL and BEOL structure files and merged to provide a structure file that can be employed for simulation of semiconductor devices therein.
    Type: Grant
    Filed: September 8, 2012
    Date of Patent: November 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Rajiv V. Joshi, Ajay N. Bhoj
  • Patent number: 8572545
    Abstract: A method of extracting capacitance from a layout record includes imposing voltages on conductors in a layout record, and determining a total charge for each of the conductors to obtain a capacitor element for the conductors. A method of extracting capacitance from a layout record includes matching a configuration of conductors in a layout record against a reference pattern, and determining an extracted capacitance for the conductors based at least in part on the reference pattern. A method of extracting capacitance from a layout record includes providing a layout record of a circuit design, the layout record having data representing conductors and metal fill, and extracting capacitance to determine a set of capacitors between the conductors, the set of capacitors accounting for the metal fill.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: October 29, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventor: Terrence A. Lenahan
  • Patent number: 8566770
    Abstract: This invention comprises a layout method to effectively protect logic circuits against soft errors (non-destructive errors) and circuit cells, with layout, which are protected against soft errors. In particular, the method protects against cases where multiple nodes in circuit are affected by a single event. These events lead to multiple errors in the circuit, and while several methods exist to deal with single node errors, multiple node errors are very hard to deal with using any currently existing protection methods. The method is particularly useful for CMOS based logic circuits in modern technologies (.ltoreq.90 nm), where the occurrence of multiple node pulses becomes high (due to the high integration level). It uses a unique layout configuration, which makes the circuits protected against single event generated soft-errors.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: October 22, 2013
    Inventor: Klas Olof Lilja
  • Patent number: 8566776
    Abstract: In a particular embodiment, a method is disclosed that includes automatically adding a first power line in a channel between at least two macros when less than two system power supply lines with opposite polarities are detected within the channel.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: October 22, 2013
    Assignee: QUALCOMM Incorporated
    Inventor: Li Qiu
  • Publication number: 20130275938
    Abstract: Roughly described, while manually dragging shapes during IC layout editing, editing operations determine which edges of which shapes are moving at what speed ratios. Based on the edge information and the DRC rules, the system calculates and keeps track of the minimum of the maximum distance the edges are allowed to move with the cursor without violating DRC rules, in four linear directions and all corner directions. Once a next cursor destination point is known, a DRC clean destination point is calculated based on the linear and corner bounds. If the next cursor position is beyond a the push-through distance ahead of the new DRC clean point, the editing objects are moved to the user's destination point. Otherwise, the editing objects are moved to the new DRC clean destination point, thereby stopping movement at that point.
    Type: Application
    Filed: May 16, 2013
    Publication date: October 17, 2013
    Inventors: Jon Bendicksen, Randy Bishop, Zuo Dai, John Hapli, Dick Liu, Ming Su
  • Patent number: 8560998
    Abstract: Disclosed are a method, apparatus, and computer program product to implement routing for double patterning lithography. A three-phase routing scheme is employed, comprising a global router, a C-router, and a detail router. The C-router provides double patterning color seeding for shapes on routing tracks in the electronic design. The detail router employs space-tiles to perform double-patterning based routing for wires in the electronic design.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: October 15, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey Scott Salowe, Satish Samuel Raj
  • Patent number: 8560983
    Abstract: Mechanisms are provided for generating a physical layout of an integrated circuit design. A logic description of the integrated circuit design is received that comprises a first logic description of an irregular logic block of the integrated circuit design and a second logic description of a regular logic block of the integrated circuit design. A manual design of the regular logic block of the integrated circuit design is performed based on user input and an automated design of the irregular logic block of the integrated circuit design is performed without user input. The manual design of the regular logic block and the automated design of the irregular logic block are then integrated into the integrated circuit design to generate a hybrid integrated circuit design.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: October 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Uwe Brandt, Thomas M. Makowski, Christoph Wandel, Holger Wetter
  • Patent number: 8561003
    Abstract: A finFET block architecture includes a first set of semiconductor fins having a first conductivity type, and a second set of semiconductor fins having a second conductivity type. An inter-block insulator is placed between outer fins of the first and second sets. A patterned gate conductor layer includes a first plurality of gate traces extending across the set of fins in the first block without crossing the inter-block insulator, and a second plurality of gate traces extending across the set of fins in the second block without crossing the inter-block insulator. Patterned conductor layers over the gate conductor layer are arranged in orthogonal layout patterns, and include an inter-block connector arranged to connect gate traces in the first and second blocks.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: October 15, 2013
    Assignee: Synopsys, Inc.
    Inventors: Jamil Kawa, Victor Moroz, Deepak Sherlekar
  • Patent number: 8555214
    Abstract: During a calculation technique, contributions to reflected light from multiple discrete cells in a model of a multilayer stack in a reflective photo-mask may be determined based on angles of incidence of light in a light pattern to the multilayer stack, a polarization of the light in the light pattern, and a varying intensity of the light in the light pattern through the multilayer stack. Then, phase values of the contributions to the reflected light from the multiple discrete cells are adjusted, thereby specifying optical path differences between the multiple discrete cells in the multilayer stack that are associated with the defect. Moreover, the contributions to the reflected light from multiple discrete cells are combined to determine the reflected light from the multilayer stack. Next, k-space representations of the contributions to the reflected light from the multiple discrete cells are selectively shifted based on the angles of incidence.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: October 8, 2013
    Assignee: Luminescent Technologies, Inc.
    Inventor: Christopher Heinz Clifford
  • Patent number: 8555215
    Abstract: Methods for fabricating semiconductor devices are provided. In an embodiment, a method of fabricating a semiconductor device includes scanning a circuit design layout and proposing patterns for decomposed layouts. The proposed patterns are then compared with a library of prior patterns including a category of forbidden patterns and a category of preferred patterns. If a selected proposed pattern matches a forbidden pattern, the selected proposed pattern is eliminated. If the selected proposed pattern matches a preferred pattern, then the selected proposed pattern is identified for use in the decomposed layouts. Decomposed layouts are generated from the identified patterns. A plurality of masks is fabricated based on the decomposed layouts. Then a multiple patterning lithographic technique is performed with the plurality of masks on a semiconductor substrate.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: October 8, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Yi Zou, Swamy Maddu, Lynn T. Wang, Vito Dai, Luigi Capodieci, Peng Xie
  • Patent number: 8555217
    Abstract: In one embodiment, an integrated circuit design tool is provided that includes a main window graphical user interface (GUI) and several tool GUIs. Cross probing of features from a source tool GUI to a target tool GUI occurs by the source tool GUI transmitting a probe request to the main window GUI; wherein the probe request identifies one or more cross-probed features for the target tool GUI. In response, the main window GUI commands a plug-in installation of the target tool GUI if the target tool GUI has not yet been instantiated. The main window GUI transmits a notification of the probe request to the target tool GUI. In response, the target tool GUI displays the cross-probed features.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: October 8, 2013
    Assignee: Lattice Semiconductor Corporation
    Inventors: James Khong, Xiaoming Ma, Justin Wu
  • Patent number: 8555237
    Abstract: An apparatus and method for reporting design rule violations of an integrated circuit design includes collecting data from a design rule checker module, processing the data, and displaying design rule violations onto the layout. The display of the design rule violations may be interactive by including hypertext links to specifications, text bubbles with violation explanations, measurements, highlighting areas of the layout corresponding to a particular rule, and providing hierarchically expandable nodes for constraint violations in a browser.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: October 8, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Pardeep Juneja, Om Kanwar, Harindranath Parameswaran
  • Patent number: 8555228
    Abstract: Embodiments of an electronic design automation system are generally described herein. In some embodiments, glitch-sensitive nodes in an integrated circuit design are identified. For each glitch-sensitive node, a circuit fanin cone is analyzed to look for circuit structures that can produce glitches. The integrated circuit design can be simulated and modified if the simulation indicates that a glitch would occur in the integrated circuit design.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: October 8, 2013
    Assignee: Intel Corporation
    Inventors: Nicholas Denler, Iredamola Dammy Olopade, Sunil Gupta, Sulakshana Shyama Nath
  • Patent number: 8549449
    Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed.
    Type: Grant
    Filed: February 23, 2009
    Date of Patent: October 1, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
  • Patent number: 8543963
    Abstract: Some embodiments provide techniques and systems for optimizing a circuit design's global leakage power. During operation, the system can determine leakage potentials for logic gates in the circuit design, such that a logic gate's leakage potential indicates an amount or degree by which the logic gate's leakage power is decreasable. The system can then determine a processing order for processing the logic gates based at least on the leakage potentials. Next, the system can optimize the circuit design's leakage power by attempting to decrease leakage power of logic gates according to the processing order.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: September 24, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Sudipto Kundu
  • Patent number: 8543958
    Abstract: An EDA method is implemented for modifying a layout file after place and route. The method includes storing a library of shape modifications for cells in the design library used for implementation of the circuit. The library of shape modifications includes the results of process-specific calibration of the shape modifications which indicate adjustment of a circuit parameter caused by applying the shape modifications to the cells. The layout file is analyzed to identify a cell for adjustment of the circuit parameter. A shape modification calibrated to achieve the desired adjustment is selected from the library. The shape modification is applied to the identified cell in the layout file to produce a modified layout file. The modified layout file can be used for tape out, and subsequently for manufacturing of an improved integrated circuit.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: September 24, 2013
    Assignee: Synopsys, Inc.
    Inventors: Qiang Chen, Sridhar Tirumala
  • Patent number: 8539398
    Abstract: A processing device programming system automatically provides a user interface comprising a selectable list of one or more processing devices based on a system level solution, automatically generates an embedded programmable system solution from the system level solution and a processing device selected from the selectable list of one or more processing devices, and automatically programs the processing device according to the embedded programmable system solution.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: September 17, 2013
    Assignee: Cypress Semiconductor Corporation
    Inventors: John McDonald, Jon Pearson, Kenneth Ogami, Doug Anderson
  • Patent number: 8539428
    Abstract: The present disclosure is directed to a method for extracting information for a circuit design. The method may utilize an incremental extraction process for extracting information for a portion of the circuit design. Extracted results of this portion may be merged with a previously extracted result to obtain an integrated result that is equivalent to that of extracting the entire circuit design. The incremental extraction process may identify a set of changed shapes, a set of affected shapes, and a set of involved shapes for extraction. The method may also divide the circuit design into a plurality of regions, wherein two or more regions may be processed in parallel.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: David J. Widiger, Ronald D. Rose, Sandy K. Kao, Lewis W. Dewey, III, Gerald F. Plumb
  • Patent number: 8539433
    Abstract: A circuit design aiding apparatus includes a circuit data storage that stores circuit data for each circuit diagram, the circuit data containing elements in a circuit, connection between the elements, and links to constraints, a constraint data storage that stores constraint data representing design constraints, a circuit edit controller that receives and edits the circuit data through user's operation and holds an element having been edited, a constraint edit controller that receives and edits the constraint data through user's operation, a constraint updater that updates, when the circuit data is edited, the constraint data set to the edited element based on the type of the constraint and the type of the edited element, a display unit that displays the circuit data and other information, and an output data generator that generates circuit data and other data to be outputted.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: September 17, 2013
    Assignee: Fujitsu Limited
    Inventor: Mitsuru Sato
  • Patent number: 8539417
    Abstract: Generating a physical circuit board design. The physical circuit board is designed based on a design data set containing multiple electronic components. In a first step, the electronic components are classified by assigning them either to a group of so-called Core Components or to a group of Application Specific Components. Subsequently, a circuit board layer structure is generated. The layer structure includes a Core Layer Structure located in the center of this layer structure. The components are placed onto the board's layer structure in such a way that the Core Components are placed onto the Core Layer Structure. Finally, a design macro of the resulting physical design is generated and the circuit board is assembled.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Harald Huels, Dieter Staiger
  • Patent number: 8539432
    Abstract: A computer-readable recording medium stores a program that causes a computer to execute a circuit design process. The process includes selecting component data in first board data from among the first board data including first connector component data and second board data including second connector component data that is associated with the first connector component data; setting a connection destination net name of the selected component data to a first vacant terminal of the first connector component data; and setting the connection destination net name of the component data to a second vacant terminal of the second connector component data that corresponds to the first vacant terminal of the first connector data when the component data is moved from the first board data to the second board data.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: September 17, 2013
    Assignee: Fujitsu Limited
    Inventor: Takahiko Orita