Patents by Inventor Reza Sadjadi

Reza Sadjadi has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6969685
    Abstract: The invention relates to the etching of a dielectric layer in an integrated circuit (IC) structure having a patterned metal hard mask layer. The method comprises feeding a gas mixture that includes a carbon monoxide (CO) and at least one fluorocarbon gas mixture into a reactor. The gas mixture has no oxygen (O2) gas. The gas mixture is then converted into a plasma. The plasma selectively etches the dielectric layer. Typically, the dielectric layer comprises silicon.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: November 29, 2005
    Assignee: Lam Research Corporation
    Inventors: SiYi Li, S. M. Reza Sadjadi, Sean S. Kang
  • Patent number: 6962879
    Abstract: A semiconductor manufacturing process wherein silicon nitride is plasma etched with selectivity to an overlying and/or underlying dielectric layer such as a silicon oxide or low-k material. The etchant gas includes a fluorocarbon reactant and an oxygen reactant, the ratio of the flow rate of the oxygen reactant to that of the fluorocarbon reactant being no greater than 1.5. The etch rate of the silicon nitride can be at least 5 times higher than that of the oxide. Using a combination of CH3F and O2 with optional carrier gasses such as Ar and/or N2, it is possible to obtain nitride:oxide etch rate selectivities of over 40:1. The process is useful for simultaneously removing silicon nitride in 0.25 micron and smaller contact or via openings and wide trenches in forming structures such as damascene and self-aligned structures.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: November 8, 2005
    Assignee: Lam Research Corporation
    Inventors: Helen H. Zhu, David R. Pirkle, S. M. Reza Sadjadi, Andrew S. Li
  • Publication number: 20050241763
    Abstract: A gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus is provided. The gas distribution system can include a gas supply section, a flow control section and a switching section. The gas supply section provides first and second gases, typically gas mixtures, to the flow control section, which controls the flows of the first and second gases to the chamber. The chamber can include multiple zones, and the flow control section can supply the first and second gases to the multiple zones at desired flow ratios of the gases. The gas distribution system can continuously supply the first and second gases to the switching section and the switching section is operable to switch the flows of the first and second gases, such that one of the first and second process gases is supplied to the chamber while the other of the first and second gases is supplied to a by-pass line, and then to switch the gas flows.
    Type: Application
    Filed: April 30, 2004
    Publication date: November 3, 2005
    Inventors: Zhisong Huang, Jose Sam, Eric Lenz, Rajinder Dhindsa, Reza Sadjadi
  • Patent number: 6919278
    Abstract: A system and method for achieving a silicon carbide to low-k dielectric etch selectivity ratio of greater than 1:1 using a chlorine containing gas and either hydrogen (H2) gas or nitrogen (N2) gas is described. The method is applied to a semiconductor substrate having a low-k dielectric layer and a silicon carbide layer. The chlorine containing gas is a gas mixture that includes either HCl, BCl3, Cl2, or any combination thereof. In one embodiment, the method provides for supplying an etchant gas comprising a chlorine containing gas and a hydrogen (H2) gas. The etchant gas is then energized to generate a plasma which then etches openings in the silicon carbide at a faster etch rate than the low-k dielectric etch rate. In an alternative embodiment, the etchant gas mixture comprises a chlorine containing gas and a nitrogen (N2) gas.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: July 19, 2005
    Assignee: Lam Research Corporation
    Inventors: Sean S. Kang, Si Yi Li, S. M. Reza Sadjadi
  • Patent number: 6909195
    Abstract: The present inventions is a method of trench formation within a dielectric layer, comprising, first, etching a via within the dielectric layer. After the via is etched, an organic plug is used to fill a portion of the via. After the desired amount of organic plug has been etched from the via, a trench is etched with a first gas mixture to a first depth, and a second gas mixture is used to further etch the trench to the final desired trench depth. Preferably, the method is used for low-k dielectrics that do not have an intermediate etch stop layer. Additionally, it is preferable that the first gas mixture is a polymeric gas mixture and the second gas mixture is a non-polymeric gas mixture. As a result of using this method, an interconnect structure for a low-k dielectric without an intermediate etch stop layer having a trench with trench edges that are substantially orthogonal and a via with via edges that are substantially orthogonal is generated.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: June 21, 2005
    Assignee: Lam Research Corporation
    Inventors: SiYi Li, S. M. Reza Sadjadi, David R. Pirkle, Steve Lassig, Sean Kang, Vinay Pohray, Peter Cirigliano
  • Patent number: 6875699
    Abstract: A method of forming a damascene structure above a substrate is provided. A low-k dielectric layer is formed over the substrate, wherein the low-k dielectric layer does not have a trench stop layer. A plurality of vias are etched through the low-k dielectric layer. Via plugs are formed in the plurality of vias. A plurality of trenches are etched into the low-k dielectric layer, wherein the etching with sufficiently high via plugs minimizes facet formation at the tops of vias exposed to the etch and wherein the trench etch process removes fences caused by the via plugs. The via plugs are stripped.
    Type: Grant
    Filed: May 1, 2002
    Date of Patent: April 5, 2005
    Assignees: Lam Research Corporation, Novellus Sytems, Inc.
    Inventors: Stephan Lassig, S. M. Reza Sadjadi, Vinay Pohray, Si Yi Li, Thomas W. Mountsier, Chiu Chi
  • Publication number: 20050006028
    Abstract: A plasma processing apparatus for processing a substrate is provided. A plasma processing chamber with chamber walls is provided. A substrate support is provided within the chamber walls. At least one confinement ring is provided, where the confinement ring and the substrate support define a plasma volume. A magnetic source for generating a magnetic field for magnetically enhancing physical confinement provided by the at least one confinement ring is provided.
    Type: Application
    Filed: June 20, 2003
    Publication date: January 13, 2005
    Inventors: Douglas Keil, Lumin Li, Eric Hudson, Reza Sadjadi, Eric Lenz, Rajinder Dhindsa, Ji Kim
  • Publication number: 20040224520
    Abstract: A method for etching a feature in a low-k dielectric layer through a photoresist etch mask over a substrate. A gas-modulated cyclic stripping process is performed for more than three cycles for stripping a single photoresist mask. Each cycle of the gas-modulated cyclic stripping process comprises performing a protective layer formation phase and a stripping phase. The protective layer forming phase using first gas chemistry with a deposition gas chemistry, wherein the protective layer forming phase is performed in about 0.005 to 10 seconds for each cycle. The performing the stripping phase for stripping the photoresist mask using a second gas chemistry using a stripping gas chemistry, where the first gas chemistry is different than the second gas chemistry, wherein the etching phase is performed in about 0.005 to 10 seconds for each cycle.
    Type: Application
    Filed: June 3, 2004
    Publication date: November 11, 2004
    Applicant: Lam Research Corporation
    Inventors: Seokmin Yun, Ji Zhu, Peter Cirigliano, Sangheon Lee, Thomas S. Choi, Peter Loewenhardt, Mark H. Wilcoxson, Reza Sadjadi, Eric A. Hudson, James V. Tietz
  • Publication number: 20040224264
    Abstract: A method for etching a feature in a layer is provided. An underlayer of a polymer material is formed over the layer. A top image layer is formed over the underlayer. The top image layer is exposed to patterned radiation. A pattern is developed in the top image layer. The pattern is transferred from the top image layer to the underlayer with a reducing dry etch. The layer is etched through the underlayer, where the top image layer is completely removed and the underlayer is used as a pattern mask during the etching the layer to transfer the pattern from the underlayer to the layer.
    Type: Application
    Filed: May 9, 2003
    Publication date: November 11, 2004
    Applicant: Lam Research Corporation
    Inventors: Hanzhong Xiao, Helen H. Zhu, Kuo-Lung Tang, S.M. Reza Sadjadi
  • Publication number: 20040211517
    Abstract: A method of etching a stack using a fluorine containing gas and an ammonia containing gas is provided. Generally, the stack is placed in a plasma processing chamber. A fluorine containing gas is flowed into the plasma processing chamber. An ammonia containing gas is flowed into the plasma processing chamber. A plasma is generated. The stack is then etched.
    Type: Application
    Filed: May 17, 2004
    Publication date: October 28, 2004
    Inventors: Rao Annapragada, Reza Sadjadi
  • Patent number: 6794293
    Abstract: The present inventions is a method of trench formation within a dielectric layer, comprising, first, etching a via within the dielectric layer. After the via is etched, an organic plug is used to fill a portion of the via. After the desired amount of organic plug has been etched from the via, a trench is etched with a first gas mixture to a first depth, and a second gas mixture is used to further etch the trench to the final desired trench depth. Preferably, the method is used for low-k dielectrics that do not have an intermediate etch stop layer. Additionally, it is preferable that the first gas mixture is a polymeric gas mixture and the second gas mixture is a non-polymeric gas mixture. As a result of using this method, an interconnect structure for a low-k dielectric without an intermediate etch stop layer having a trench with trench edges that are substantially orthogonal and a via with via edges that are substantially orthogonal is generated.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: September 21, 2004
    Assignee: Lam Research Corporation
    Inventors: SiYi Li, S. M. Reza Sadjadi, David R. Pirkle, Steve Lassig, Sean Kang, Vinay Pohray, Peter Cirigliano
  • Patent number: 6780569
    Abstract: A method for creating semiconductor devices is provided. A photoresist layer is provided on a wafer. The photoresist layer is patterned. Polymers in the patterned photoresist layer are chemically cross-linked by exposure to at least one reactive chemical. The pattern in the photoresist layer is transferred to the wafer. A reaction chamber for processing a wafer with a patterned layer of photoresist material, wherein the photoresist material was patterned by exposing the photoresist material using light of a wavelength less than 248 nm is provided. A chamber is provided with a central cavity. A wafer support for supporting the wafer in the central cavity is provided. A cross-linking reactive chemical source in fluid contact with the chamber and which provides a reactive chemical which causes cross-linking of the photoresist is provided.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: August 24, 2004
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Reza Sadjadi, Daxing Ren, Wan-Lin Chen, Douglas Keil, Peter Cirigliano
  • Publication number: 20040072430
    Abstract: A method for forming a dual damascene feature is provided. Vias are formed in an etch layer. A trench patterned mask is provided over the etch layer. A trench is etched, where the etching the trench comprises a cycle of forming protective sidewalls over the sidewalls of the vias and etching a trench through the trench patterned mask. The mask is then stripped.
    Type: Application
    Filed: September 29, 2003
    Publication date: April 15, 2004
    Inventors: Zhisong Huang, Lumin Li, Reza Sadjadi
  • Publication number: 20040038540
    Abstract: The present inventions is a method of trench formation within a dielectric layer, comprising, first, etching a via within the dielectric layer. After the via is etched, an organic plug is used to fill a portion of the via. After the desired amount of organic plug has been etched from the via, a trench is etched with a first gas mixture to a first depth, and a second gas mixture is used to further etch the trench to the final desired trench depth. Preferably, the method is used for low-k dielectrics that do not have an intermediate etch stop layer. Additionally, it is preferable that the first gas mixture is a polymeric gas mixture and the second gas mixture is a non-polymeric gas mixture. As a result of using this method, an interconnect structure for a low-k dielectric without an intermediate etch stop layer having a trench with trench edges that are substantially orthogonal and a via with via edges that are substantially orthogonal is generated.
    Type: Application
    Filed: October 5, 2001
    Publication date: February 26, 2004
    Applicant: Lam Research Corporation
    Inventors: SiYi Li, S.M. Reza Sadjadi, David R. Pirkle, Stephan Lassig, Sean Kang, Vinay Pohray, Peter Cirigliano
  • Patent number: 6670278
    Abstract: The invention provides a process for plasma etching silicon carbide with selectivity to an overlapping and/or underlying dielectric layer of material. The etching gas includes a hydrogen-containing fluorocarbon gas such as CH3F, an oxygen-containing gas such as O2 and an optional carrier gas such as Ar. The dielectric material can comprise silicon dioxide, silicon nitride, silicon oxynitride or various low-k dielectric materials including organic low-k materials. In order to achieve a desired selectivity to such dielectric materials, the plasma etch gas chemistry is selected to achieve a desired etch rate of the silicon carbide while etching the dielectric material at a slower rate. The process can be used to selectively etch a hydrogenated silicon carbide etch stop layer or silicon carbide substrates.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: December 30, 2003
    Assignee: Lam Research Corporation
    Inventors: Si Yi Li, Helen H. Zhu, S. M. Reza Sadjadi, David R. Pirkle, James Bowers, Michael Goss
  • Publication number: 20030087531
    Abstract: A system and method for achieving a silicon carbide to low-k dielectric etch selectivity ratio of greater than 1:1 using a chlorine containing gas and either hydrogen (H2) gas or nitrogen (N2) gas is described. The method is applied to a semiconductor substrate having a low-k dielectric layer and a silicon carbide layer. The chlorine containing gas is a gas mixture that includes either HCl, BCl3, Cl2, or any combination thereof. In one embodiment, the method provides for supplying an etchant gas comprising a chlorine containing gas and a hydrogen (H2) gas. The etchant gas is then energized to generate a plasma which then etches openings in the silicon carbide at a faster etch rate than the low-k dielectric etch rate. In an alternative embodiment, the etchant gas mixture comprises a chlorine containing gas and a nitrogen (N2) gas.
    Type: Application
    Filed: July 19, 2002
    Publication date: May 8, 2003
    Applicant: Lam Research Corporation
    Inventors: Sean S. Kang, Si Yi Li, S.M. Reza Sadjadi
  • Patent number: 6518174
    Abstract: A method of etching a stack is provided. Generally, a trench patterned resist layer is placed over a dielectric layer of the stack. A trench is partially etched into the dielectric layer. A simultaneous stripping of the trench patterned resist layer, etching the barrier layer, and etching the trench is then performed. As a result an etch stack may be provided with less damage. The method may be used to provide a dual damascene structure. The dual damascene structure may be provided by etching a via before placing the trench patterned resist layer over the dielectric layer of the stack.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: February 11, 2003
    Assignee: Lam Research Corporation
    Inventors: Rao Annapragada, Reza Sadjadi
  • Publication number: 20030024902
    Abstract: A semiconductor manufacturing process wherein a low-k dielectric layer is plasma etched with selectivity to an overlying mask layer. The etchant gas can be oxygen-free and include a fluorocarbon reactant, a nitrogen reactant and an optional carrier gas, the fluorocarbon reactant and nitrogen reactant being supplied to a chamber of a plasma etch reactor at flow rates such that the fluorocarbon reactant flow rate is less than the nitrogen reactant flow rate. The etch rate of the low-k dielectric layer can be at least 5 times higher than that of a silicon dioxide, silicon nitride, silicon oxynitride or silicon carbide mask layer. The process is useful for etching 0.25 micron and smaller contact or via openings in forming structures such as damascene structures.
    Type: Application
    Filed: March 30, 2001
    Publication date: February 6, 2003
    Inventors: Si Yi Li, Helen H. Zhu, S. M. Reza Sadjadi, James V. Tietz, Bryan A. Helmer
  • Patent number: 6495470
    Abstract: A method of forming a contact opening between two conductive features over a semiconductor substrate. Oxide spacers are formed adjacent to the conductive features. A doped oxide layer is then deposited over the semiconductor substrate. Finally, the contact opening is etched through the doped oxide layer between the conductive features such that the oxide spacers are exposed within the contact opening.
    Type: Grant
    Filed: December 29, 1995
    Date of Patent: December 17, 2002
    Assignee: Intel Corporation
    Inventors: S. M. Reza Sadjadi, Mansour Moinpour, Te Hua Lin, Farhad K. Moghadam
  • Publication number: 20020182880
    Abstract: A semiconductor manufacturing process wherein silicon nitride is plasma etched with selectivity to an overlying and/or underlying dielectric layer such as a silicon oxide or low-k material. The etchant gas includes a fluorocarbon reactant and an oxygen reactant, the ratio of the flow rate of the oxygen reactant to that of the fluorocarbon reactant being no greater than 1.5. The etch rate of the silicon nitride can be at least 5 times higher than that of the oxide. Using a combination of CH3F and O2 with optional carrier gasses such as Ar and/or N2, it is possible to obtain nitride:oxide etch rate selectivities of over 40:1. The process is useful for simultaneously removing silicon nitride in 0.25 micron and smaller contact or via openings and wide trenches in forming structures such as damascene and self-aligned structures.
    Type: Application
    Filed: March 30, 2001
    Publication date: December 5, 2002
    Inventors: Helen H. Zhu, David R. Pirkle, S.M. Reza Sadjadi, Andrew S. Li