With Treating Means (e.g., Jarring) Patents (Class 118/722)
  • Patent number: 8651048
    Abstract: A soft-landing (SL) instrument for depositing ions onto substrates using a laser ablation source is described herein. The instrument of the instant invention is designed with a custom drift tube and a split-ring ion optic for the isolation of selected ions. The drift tube allows for the separation and thermalization of ions formed after laser ablation through collisions with an inert bath gas that allow the ions to be landed at energies below 1 eV onto substrates. The split-ring ion optic is capable of directing ions toward the detector or a landing substrate for selected components. The inventors further performed atomic force microscopy (AFM) and drift tube measurements to characterize the performance characteristics of the instrument.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: February 18, 2014
    Assignee: University of North Texas
    Inventors: Guido Fridolin Verbeck, Stephen Davila
  • Patent number: 8652256
    Abstract: A manufacturing apparatus of polycrystalline silicon products polycrystalline silicon by depositing on a surface of a silicon seed rod by supplying raw-material gas to the heated silicon seed rod provided vertically in a reactor, includes: an electrode which holds the silicon seed rod and is made of carbon; an electrode holder which holds the electrode, and cooled by coolant medium flowing therein, wherein the electrode includes: a seed rod holding member which holds the silicon seed rod; a heat cap which is provided between the seed rod holding member and the electrode holder; and a cap protector having a ring-like plate shape, which covers an upper surface of the heat cap, and in which a through hole penetrating the lower-end portion of the seed rod holding member is formed.
    Type: Grant
    Filed: September 8, 2009
    Date of Patent: February 18, 2014
    Assignee: Mitsubishi Materials Corporation
    Inventors: Toshihide Endoh, Masayuki Tebakari, Toshiyuki Ishii, Masaaki Sakaguchi
  • Publication number: 20140044887
    Abstract: Apparatus for atomic layer deposition on a surface of a sheeted substrate, comprising: an injector head comprising a deposition space provided with a precursor supply and a precursor drain; said supply and drain arranged for providing a precursor gas flow from the precursor supply via the deposition space to the precursor drain; the deposition space in use being bounded by the injector head and the substrate surface; a gas bearing comprising a bearing gas injector, arranged for injecting a bearing gas between the injector head and the substrate surface, the bearing gas thus forming a gas-bearing; a conveying system providing relative movement of the substrate and the injector head along a plane of the substrate to form a conveying plane along which the substrate is conveyed.
    Type: Application
    Filed: January 30, 2012
    Publication date: February 13, 2014
    Applicant: Nederlandse Organisatie Voor Toegpast- Natuurwetenschappelijk Onderzoek TNO
    Inventor: Adrianus Johannes Petrus Maria Vermeer
  • Publication number: 20140030435
    Abstract: Vacuum coating apparatus for coating a web includes a first rotatable coating drum and a second rotatable coating drum disposed parallel to the first drum with a gap formed between the first and the second coating drums for transporting at least one web. A first evaporator has at least one evaporation source for generating a first evaporation beam, wherein the first evaporator is arranged next to the first coating drum. A second evaporator has at least one evaporation source for generating a second evaporation beam, wherein the second evaporator is arranged next to the second coating drum. The first and the second evaporators are inclined relative to each other.
    Type: Application
    Filed: December 1, 2010
    Publication date: January 30, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Stefan Hein, Gerd Hoffmann
  • Publication number: 20140027884
    Abstract: Improved methods and systems for passivating a surface of a high-mobility semiconductor and structures and devices formed using the methods are disclosed. The method includes providing a high-mobility semiconductor surface to a chamber of a reactor and exposing the high-mobility semiconductor surface to a gas-phase sulfur precursor to passivate the high-mobility semiconductor surface.
    Type: Application
    Filed: July 12, 2013
    Publication date: January 30, 2014
    Inventors: Fu Tang, Michael Eugene Givens, Qi Xie, Petri Raisanen
  • Publication number: 20140024535
    Abstract: A manufacturing apparatus which manufactures a superconducting wire rod, includes first, second, and third chambers which are connected in series, an exhaust device which exhaust air from the first to third chambers, a carrier device which carries a substrate such that the substrate passes through the first to third chambers in this order, a first film formation device which forms a metal layer on the substrate in the first chamber, a first gas supply device which supplies oxidation gas to the second chamber to oxidize a surface of the metal layer, and a second film formation device which forms an oxide layer on the metal layer, the surface of which has been oxidized, in the third chamber.
    Type: Application
    Filed: January 23, 2013
    Publication date: January 23, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: KABUSHIKI KAISHA TOSHIBA
  • Publication number: 20140017416
    Abstract: A p-type semiconductor zinc oxide (ZnO) film and a process for preparing the film are disclosed. The film is co-doped with phosphorous (P) and lithium (Li). A pulsed laser deposition scheme is described for use in growing the film. Further described is a process of pulsed laser deposition using transparent substrates which includes a pulsed laser source, a substrate that is transparent at the wavelength of the pulsed laser, and a multi-target system. The optical path of the pulsed laser is arranged in such a way that the pulsed laser is incident from the back of the substrate, passes through the substrate, and then focuses on the target. By translating the substrate towards the target, this geometric arrangement enables deposition of small features utilizing the root of the ablation plume, which can exist in a one-dimensional transition stage along the target surface normal, before the angular width of the plume is broadened by three-dimensional adiabatic expansion.
    Type: Application
    Filed: September 12, 2013
    Publication date: January 16, 2014
    Applicant: IMRA AMERICA, INC.
    Inventors: Bing LIU, Zhendong Hu, Yong Che, Yuzuru Uehara
  • Publication number: 20140004713
    Abstract: According to an embodiment of present disclosure, a film formation method is provided. The film formation method includes supplying a first process gas as a source gas for obtaining a reaction product to a substrate while rotating a turntable and revolving the substrate, and supplying a second process gas as a gas for nitriding the first process gas adsorbed to the substrate to the substrate in a position spaced apart along a circumferential direction of the turntable from a position where the first process gas is supplied to the substrate. Further, the film formation method includes providing a separation region along the circumferential direction of the turntable between a first process gas supply position and a second process gas supply position, and irradiating ultraviolet rays on a molecular layer of the reaction product formed on the substrate placed on the turntable to control stresses generated in a thin film.
    Type: Application
    Filed: June 28, 2013
    Publication date: January 2, 2014
    Inventors: Masanobu IGETA, Jun SATO, Kazuo YABE, Hitoshi KATO, Yusaku IZAWA
  • Patent number: 8613802
    Abstract: Affords nitride semiconductor crystal manufacturing apparatuses that are durable and that are for manufacturing nitride semiconductor crystal in which the immixing of impurities from outside the crucible is kept under control, and makes methods for manufacturing such nitride semiconductor crystal, and the nitride semiconductor crystal itself, available. A nitride semiconductor crystal manufacturing apparatus (100) is furnished with a crucible (101), a heating unit (125), and a covering component (110). The crucible (101) is where, interiorly, source material (17) is disposed. The heating unit (125) is disposed about the outer periphery of the crucible (101), where it heats the crucible (101) interior. The covering component (110) is arranged in between the crucible (101) and the heating unit (125).
    Type: Grant
    Filed: January 20, 2010
    Date of Patent: December 24, 2013
    Assignee: Sumitomo Electric Industies, Ltd.
    Inventors: Issei Satoh, Michimasa Miyanaga, Yoshiyuki Yamamoto, Hideaki Nakahata
  • Patent number: 8607733
    Abstract: An atomic layer deposition apparatus includes: a first chamber which is surrounded by walls including a supply hole for the reactive gas formed thereon; a second chamber which is surrounded by walls including a supply hole for a source gas formed thereon; an antenna array which is provided in the first chamber, the antenna array having a plurality of rod-shaped antenna elements provided in parallel respectively to produce the plasma using the reactive gas; a substrate stage which is provided in the second chamber, the substrate being placed on the substrate stage; and a connecting member which connects the first chamber and the second chamber to supply gas containing reactive gas radical from the first chamber to the second chamber, the reactive gas radical being produced using the antenna array.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: December 17, 2013
    Assignee: Mitsui Engineering & Shipbuilding Co., Ltd.
    Inventor: Hiroyuki Tachibana
  • Publication number: 20130320275
    Abstract: In a crystal growth apparatus and method, polycrystalline source material and a seed crystal are introduced into a growth ambient comprised of a growth crucible disposed inside of a furnace chamber. In the presence of a first sublimation growth pressure, a single crystal is sublimation grown on the seed crystal via precipitation of sublimated source material on the seed crystal in the presence of a flow of a first gas that includes a reactive component that reacts with and removes donor and/or acceptor background impurities from the growth ambient during said sublimation growth. Then, in the presence of a second sublimation growth pressure, the single crystal is sublimation grown on the seed crystal via precipitation of sublimated source material on the seed crystal in the presence of a flow of a second gas that includes dopant vapors, but which does not include the reactive component.
    Type: Application
    Filed: May 24, 2013
    Publication date: December 5, 2013
    Inventors: Ilya Zwieback, Ping Wu, Varatharajan Rengarajan, Avinash K. Gupta, Thomas E. Anderson, Gary E. Ruland, Andrew E. Souzis, Xueping Xu
  • Publication number: 20130319332
    Abstract: According to an embodiment of the present disclosure, a substrate processing apparatus including a housing is provided. The housing having an internal atmosphere of a reduced oxygen concentration includes a box structure configured to accommodate a substrate holder which receives a plurality of substrates therein and including a first gap and a second gap. Further, the housing includes an inert gas pipe connected to the box structure, and configured to supply an inert gas to the box structure, a cover member mounted in the box structure, and a buffer space formed between an internal space of the box structure and the cover member.
    Type: Application
    Filed: May 28, 2013
    Publication date: December 5, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kiyohiko GOKON
  • Patent number: 8591824
    Abstract: The heat treating furnace for the gas reaction includes an outer body, an inner body, a heating mechanism, gas supplying mechanism, and a controller. Using the controller to control the amount of gas supply effectively keeps the first pressure (P1) in the gas circulation chamber outside the inner body greater than the second pressure (P2) in the reaction chamber inside the inner body all the time. In this way, the flow rate of gas inlet, reaction rate, cooling rate can be facilitated, and the uniformity of the thin film and the operational safety can be improved.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: November 26, 2013
    Assignees: Kern Energy Enterprise Co., Ltd.
    Inventors: Ying-Shih Hsiao, Toshiaki Yoshimura
  • Patent number: 8573149
    Abstract: An apparatus for depositing a ta-C thin film for a magnetic recording medium includes a film deposition chamber; a plasma beam formation portion for supplying a plasma beam to the film deposition chamber to form the ta-C thin film on a substrate with a magnetic recording layer thereon; a substrate holder rotatably arranged in the film deposition chamber; a tilting member for continuously changing an inclination angle of the plasma beam to a surface of the magnetic recording layer; and a rotating member for rotating the substrate about a rotation axis of the substrate holder. A control member rotates the substrate holder with the substrate thereon and operates the tilting member to continuously change the inclination angle from a minimum inclination angle to a maximum inclination angle according to an increase in film thickness of the ta-C thin film being formed by the plasma beam formation portion.
    Type: Grant
    Filed: April 8, 2013
    Date of Patent: November 5, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Katsunori Suzuki, Takeshi Watanabe
  • Publication number: 20130276701
    Abstract: A Film (7) is provided on at least a part of a surface of each of a vapor deposition preventing plate (3) and a shutter (4) of a vacuum chamber (5) on which surface vapor deposition particles are vapor-deposited, the film (7) being provided so as to be peeled off from the each of the vapor deposition preventing plate (3) and the shutter (4), and the film being made of a material differing in at least one of a melting point, a sublimation point, solubility in a given solvent, microbial biodegradability, and photodegradability from a material of which a vapor-deposited film that is formed on the film (7) is made.
    Type: Application
    Filed: December 20, 2011
    Publication date: October 24, 2013
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Tohru Sonoda, Shinichi Kawato, Satoshi Inoue, Satoshi Hashimoto
  • Publication number: 20130280427
    Abstract: An apparatus for performing film deposition, comprises an energy source, a plurality of process tubes, and a gas manifold. The energy source is adapted to direct energy into a cylindrical space. The plurality of process tubes, in turn, pass through this cylindrical space. To perform the film deposition, the gas manifold is operative to introduce a respective gas flow into each of the plurality of process tubes.
    Type: Application
    Filed: April 24, 2012
    Publication date: October 24, 2013
    Inventors: Xuesong Li, Yu-Ming Lin, Chun-Yung Sung
  • Patent number: 8547085
    Abstract: An arrangement for measuring process parameters within a processing chamber is provided. The arrangement includes a probe arrangement disposed in an opening of an upper electrode. Probe arrangement includes a probe head, which includes a head portion and a flange portion. The arrangement also includes an o-ring disposed between the upper electrode and the flange portion. The arrangement further includes a spacer made of an electrically insulative material positioned between the head portion and the opening of the upper electrode to prevent the probe arrangement from touching the upper electrode. The spacer includes a disk portion configured for supporting an underside of the flange portion. The spacer also includes a hollow cylindrical portion configured to encircle the head portion. The spacer forms a right-angled path between the o-ring and an opening to the processing chamber to prevent direct line-of-sight path between the o-ring and the opening to the processing chamber.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: October 1, 2013
    Assignee: Lam Research Corporation
    Inventors: Jean-Paul Booth, Douglas Keil
  • Patent number: 8540819
    Abstract: A ceramic heater for a semiconductor substrate process includes a plate and a shaft. The plate includes a first base and a second base bonded to the first base. Defined on a mounting surface of the first base are: a first region having a surface contacting with a mounted substrate; a purge groove provided in the portion covered with the substrate and surrounds the first region; and a second region having a surface surrounding the purge groove. The first base has: an adsorber configured to adsorb the mounted substrate onto the surface of the first region; and multiple purge holes each penetrating from the bottom surface of the purge groove to the lower surface of the first base. The purge groove is supplied with a purge gas through the multiple purge holes. The surface of the second region is located lower than that of the first region.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: September 24, 2013
    Assignee: NGK Insulators, Ltd.
    Inventors: Takashi Kataigi, Yuji Akatsuka
  • Publication number: 20130196076
    Abstract: A deposition source for depositing a deposition material on a substrate, the deposition source including: a nozzle disposed to face the substrate and discharge the deposition material toward the substrate; and a hardening portion disposed to at least one side of the nozzle for immediately hardening the deposition material discharged via the nozzle when the deposition material reaches the substrate. The deposition source being part of a deposition apparatus for manufacturing an organic light-emitting display having improved characteristics of a deposited film and encapsulation characteristics.
    Type: Application
    Filed: August 23, 2012
    Publication date: August 1, 2013
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: Tae-Wook Kang, Jeong-Ho Yi
  • Publication number: 20130189445
    Abstract: A thin film deposition apparatus includes a substrate supporting unit supporting a substrate, a deposition source evaporating a deposition material to supply a steam of the deposition material to the substrate, and a deposition source shifting unit moving the deposition source so that the deposition source is relatively shifted with respect to the substrate supporting unit.
    Type: Application
    Filed: January 18, 2013
    Publication date: July 25, 2013
    Applicant: Samsung Display Co., Ltd.
    Inventor: Samsung Display Co., Ltd.
  • Patent number: 8463116
    Abstract: Systems are provided for curing a deposited curable material film using a light source and feedback system for monitoring the degree of curing using detected optical properties of the film. Operational parameters of the light source (e.g., power) are adjusted by a control system in response to the detected optical properties of the film. In certain embodiments, the curing system includes at least one light source in optical communication with an uncured material, a detector for monitoring an optical property of the curing material, and a feedback system for controlling the light emitted from the light source in response to the detector.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: June 11, 2013
    Assignee: Tap Development Limited Liability Company
    Inventors: Edwin Hirahara, David L Lee
  • Patent number: 8444926
    Abstract: A heater liner assembly suitable for covering the interior of a plasma processing chamber is provided. In some embodiments, a liner assembly for a processing chamber can include a heating element embedded in a body. A flange extending outward from an outer diameter of the body includes an upper surface having a sealing surface and at least one pad that extends from the upper surface of the flange to an elevation beyond the sealing surface. The pad contributes to control of the temperature of the liner assembly by maintaining the liner assembly spaced apart from the processing chamber.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: May 21, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Mark A. Fodor, Sophia M. Velastegui, Bok Hoen Kim, Dale R. DuBois
  • Publication number: 20130104802
    Abstract: A system for epitaxial deposition of a Group III-V semiconductor material that includes gallium. The system includes sources of the reactants, one of which is a gaseous Group III precursor having one or more gaseous gallium precursors and another of which is a gaseous Group V component, a reaction chamber wherein the reactants combine to deposit Group III-V semiconductor material, and one or more heating structures for heating the gaseous Group III precursors prior to reacting to a temperature to decompose substantially all dimers, trimers or other molecular variations of such precursors into their monomer forms.
    Type: Application
    Filed: November 19, 2012
    Publication date: May 2, 2013
    Applicant: Soitec
    Inventor: Soitec
  • Patent number: 8431033
    Abstract: A physical vapor deposition (PVD) system and method includes a chamber including a target and a pedestal supporting a substrate. A target bias device supplies DC power to the target during etching of the substrate. The DC power is greater than or equal to 8 kW. A magnetic field generating device, including electromagnetic coils and/or permanent magnets, creates a magnetic field in a chamber of the PVD system during etching of the substrate. A radio frequency (RF) bias device supplies an RF bias to the pedestal during etching of the substrate. The RF bias is less than or equal to 120V at a predetermined frequency. A magnetic field produced in the target is at least 100 Gauss inside of the target.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: April 30, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chunming Zhou, Liqi Wu, Karthik Colinjivadi, Emery Kuo, Huatan Qiu, KieJin Park
  • Publication number: 20130098289
    Abstract: Embodiments of the invention generally relate to a chemical vapor deposition system and related method of use. In one embodiment, the system includes a reactor lid assembly having a body, a track assembly having a body and a guide path located along the body, and a heating assembly operable to heat the substrate as the substrate moves along the guide path. The body of the lid assembly and the body of the track assembly are coupled together to form a gap that is configured to receive a substrate. In another embodiment, a method of forming layers on a substrate using the chemical vapor deposition system includes introducing the substrate into a guide path, depositing a first layer on the substrate and depositing a second layer on the substrate, while the substrate moves along the guide path; and preventing mixing of gases between the first deposition step and the second deposition step.
    Type: Application
    Filed: April 11, 2012
    Publication date: April 25, 2013
    Applicant: ALTA DEVICES, INC.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus, Melissa Archer, Harry Atwater, Stewart Sonnenfeldt
  • Patent number: 8425624
    Abstract: A method of dyeing an object comprising placing a sublimable dye and the object to face each other apart at a predetermined distance of 0.1 mm or more but 10 mm or less under pressure, heating the sublimable dye with a laser to sublimate it toward the object such that the deposition region of the dye is part of a to be dyed region of the object, applying the sublimable dye over the entire to be dyed region of the object by relatively moving the object with respect to the sublimation position of the dye using a moving unit and heating at least a part of the dyed region of the object to fix the dye to the region.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: April 23, 2013
    Assignee: Nidek Co., Ltd.
    Inventors: Minoru Inuzuka, Atsushi Yano
  • Publication number: 20130095668
    Abstract: Provided is a semiconductor device manufacturing method of forming a film of less than one atomic layer on a substrate. The method includes (a) supplying a source gas into a processing chamber accommodating the substrate to adsorb the source gas on the substrate; (b) supplying a reactive gas different from the source gas into the processing chamber to cause a reaction of the reactive gas with the source gas adsorbed on the substrate before the source gas is saturatively adsorbed on the substrate; (c) removing an inner atmosphere of the processing chamber; and (d) supplying a modifying gas into the processing chamber to modify the source gas adsorbed on the substrate.
    Type: Application
    Filed: December 4, 2012
    Publication date: April 18, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: HITACHI KOKUSAI ELECTRIC INC.
  • Patent number: 8419960
    Abstract: A plasma processing apparatus performs a process on a substrate by using plasma. The plasma processing apparatus includes a processing chamber; a mounting table which is located in the processing chamber and on which a substrate is mounted; a gas shower head formed of a conductive material provided to face the mounting table and having at the bottom surface thereof a plurality of gas injection openings for supplying a processing gas into the processing chamber; an induction coil to which a high frequency current is supplied to generate an inductively coupled plasma in a region surrounding a space below the gas shower head; a negative voltage supplying unit for applying a negative DC voltage to the gas shower head to allow an electrical field, which is induced by the induction coil, to be drawn to a central portion of the processing region; and a unit for evacuating the processing chamber.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Ikuo Sawada, Songyun Kang, Shigeru Kasai
  • Patent number: 8419856
    Abstract: Disclosed is a substrate processing apparatus in which a plurality of rod-like ceramic heaters are arranged in the form of islands and affixed to the top plate of a process chamber so as to face a wafer, and the lower end portion of each ceramic heater is provided with a metal catalyst layer in such a manner that the metal catalyst layer faces a gas discharge hole of a gas diffusion plate. Consequently, the metal catalyst layer is indirectly heated by the ceramic heater (a resistance heating wire), thereby activating a processing gas.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Isao Gunji
  • Publication number: 20130059073
    Abstract: Method and apparatus for making atomic layer deposition on powdered materials are provided. A rotary vessel with tilted rotation axis can be used as the deposition chamber. The rotary vessel can be directly used as the deposition chamber, or the rotary vessel is positioned inside a vacuum chamber that serves as the deposition chamber. A hallow shaft can be used to deliver rotary motion and facilitate pumping. A tube can be inserted into the hollow shaft or the rotary vessel to introduce precursors. Gas diffuser and slowly increased pumping speed can be used to reduce the agitation caused by gas flow. Intermittent rotation, variable rotary speed, extruding structures on inner surface of the rotary vessel, and the addition of easy-to-agitate powder or beads of other materials can be used to enhance the powder agitation caused by rotation.
    Type: Application
    Filed: September 3, 2012
    Publication date: March 7, 2013
    Inventors: Ying-Bing Jiang, Hongxia Zhang
  • Patent number: 8381677
    Abstract: A method and apparatus for processing a substrate are provided. The chamber body comprises a chamber bottom and a sidewall having a slit valve. A substrate support comprising a support body is disposed in the chamber body. A first end of at least one wide RF ground strap is coupled with the support body and a second end of at least one RF ground strap is coupled with the chamber bottom. At least one extension bar is positioned along a peripheral edge of the support body. The method comprises providing a processing chamber having a slit valve and a substrate support, providing RF power to a distribution plate disposed over the substrate support, flowing gas through the distribution plate, plasma processing a substrate disposed on the substrate support, and reducing the generation of plasma adjacent to the slit valve.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Beom Soo Park, Robin L. Tiner, Soo Young Choi, John M. White
  • Publication number: 20130045558
    Abstract: A device for depositing a layer containing at least two components on an object, including: a deposition chamber; a source containing a material to be deposited; and a control device, which controls the deposition process, implemented such that a concentration of the component of the material can be modified in its gas phase prior to deposition on the object by selective binding a specified quantity of the component, wherein the selectively bound quantity of the component is controlled by modifying a control parameter that is actively coupled to a binding rate or the component, and wherein the control device contains a gettering element containing a reactive material containing copper and/or molybdenum. Also, a method for depositing a layer containing at least two components on an object, wherein a selectively bound quantity of a component is controlled by modifying a binding rate of the component of the control device.
    Type: Application
    Filed: February 22, 2011
    Publication date: February 21, 2013
    Applicant: Saint-Gobain Glass France
    Inventors: Joerg Palm, Stephan Pohlner, Stefan Jost, Thomas Happ
  • Publication number: 20130040459
    Abstract: In a substrate wiring method, copper is embedded all the way to the lowest parts of a wiring pattern formed on a substrate. The method is used to wire a substrate in a processing chamber kept in a vacuum state, the substrate having a wiring pattern formed thereon. The method includes a preprocessing step in which the wiring pattern on the substrate is cleaned using a desired cleaning gas and an embedding step in which, after the preprocessing step, metal nanoparticles are embedded in the wiring pattern using a clustered metal gas.
    Type: Application
    Filed: February 23, 2011
    Publication date: February 14, 2013
    Applicants: Iwatani Corporation, Tokyo Electron Limited
    Inventors: Satohiko Hoshino, Hidefumi Matsui, Masaki Narushima
  • Publication number: 20130034663
    Abstract: System and methods are disclosed in connection with a reaction at or below the surface of a work object, in the context of a fluid flow fostering the reaction. In some example embodiments, the reaction is fostered by (1) creating fluid flow of an inerting fluid over a surface during exposure of the surface to a predetermined type of light, (2) creating fluid flow comprising a reactive species that reacts with another species at or below the work surface in a predetermined manner and/or (3) creating a fluid flow comprising a catalytic species that catalyzes a reaction in a predetermined manner, e.g., during exposure of the surface to a predetermined type of light. In some example embodiments, a light source is employed that comprises a solid-state light source, e.g., a dense array of solid-state light sources. In at least one of such example embodiments, the reaction is a photoreaction associated with the light source.
    Type: Application
    Filed: December 30, 2005
    Publication date: February 7, 2013
    Applicant: Phoseon Technology, Inc.
    Inventors: Duwayne R. Anderson, Roland Jasmin, Mark D. Owen
  • Patent number: 8361231
    Abstract: Provided is a liquid vaporization system capable of promoting vaporization of a liquid material while solving a problem of residual liquid material. A liquid vaporization system has a liquid vaporization apparatus having a pump and a vaporizer. The vaporizer has a case, a heater provided inside the case, a heat storage plate heated by the heater, and a mesh. The mesh is formed by interweaving wires and has an overall flat plate shape. By overlapping the mesh on an upper surface of the heat storage plate, minute irregularities are formed on the heat storage plate by the mesh. A nozzle is provided above the mesh, whereby the liquid material is dropped from the nozzle onto the heat storage plate. The liquid material spreads over the heat storage plate in a thin film and is heated and vaporized on the upper surface of the heat storage plate.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: January 29, 2013
    Assignee: CKD Corporation
    Inventors: Masayuki Kouketsu, Hiroshi Itafuji
  • Publication number: 20120312232
    Abstract: An inline deposition apparatus includes a chamber; a loading unit inside the chamber and loaded with an object to be processed to be moved in a first direction; a plurality of first deposition modules in the chamber for depositing a first layer to the object to be processed; and a plurality of second deposition modules in the chamber for depositing a second layer to the object to be processed, wherein at least one of the plurality of second deposition modules is positioned between neighboring first deposition modules, and wherein the first layer is different from the second layer.
    Type: Application
    Filed: December 7, 2011
    Publication date: December 13, 2012
    Inventors: Seung-Hun Kim, Sang-Joon Seo, Jin-Kwang Kim, Jun-Hyuk Cheon
  • Publication number: 20120304927
    Abstract: In-situ flux measurement methods, devices, and systems are provided. According to some embodiments, an in-situ molecular flux device generally comprises a electrically conductive container configured to hold a precursor material, a heat source proximate the electrically conductive container to heat the precursor material to release ions such that an ion current is produced; and a current-measuring device in electrical communication with the electrically conductive container to measure the ion current associated with the heated precursor material. Other embodiments are also claimed and described.
    Type: Application
    Filed: August 15, 2012
    Publication date: December 6, 2012
    Applicant: Georgia Tech Research Corporation
    Inventors: W. Alan Doolittle, Alexander G. Carver
  • Patent number: 8316796
    Abstract: A film coating system for coating an object includes a working station and an isolating device. The object is disposed on the working station, and the isolating device is utilized to isolate the object. The isolating device includes a body generating a first power, a first working fluid, a second working fluid, a first guiding portion and a second guiding portion. The first guiding portion guides the first working fluid to pass through the body, thereby forming a first working region to coat the object thereon. The second guiding portion guides the second working fluid excited by the first power of the body to pass through the body, thereby forming a second working region to separate the first working region from the object.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: November 27, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Chi-Hung Liu, Chih-Ming Chen, Chun-Hsien Su, Chih-Wei Chen, Wen-Tung Hsu, Chun-Hung Lin
  • Publication number: 20120282402
    Abstract: An apparatus deposits a coating on a part. The apparatus comprises a chamber and a sting assembly for carrying the part. The sting assembly is shiftable between: an inserted condition where the sting assembly holds the part within the chamber for coating; and a retracted condition where the sting assembly holds the part outside of the chamber. The apparatus comprises a source of the coating material positioned to communicate the coating material to the part in the inserted condition. The apparatus comprises a thermal hood comprising a first member and a second member. The second member is between the first member and the part when the part is in the inserted condition. The second member is carried by the sting assembly so as to retract with the sting assembly as the sting assembly is retracted from the inserted condition to the retracted condition.
    Type: Application
    Filed: May 3, 2011
    Publication date: November 8, 2012
    Applicant: UNITED TECHNOLOGIES CORPORATION
    Inventors: James W. Neal, Kevin W. Schlichting, Peter F. Gero
  • Patent number: 8287647
    Abstract: The embodiments provide apparatus and methods of depositing conformal thin film on interconnect structures by providing processes and systems using an atomic layer deposition (ALD). More specifically, each of the ALD systems includes a proximity head that has a small reaction volume right above an active process region of the substrate surface. The proximity head dispenses small amount of reactants and purging gas to be distributed and pumped away from the small reaction volume between the proximity head and the substrate in relatively short periods, which increases the through-put. In an exemplary embodiment, a proximity head for dispensing reactants and purging gas to deposit a thin film by atomic layer deposition (ALD) is provided. The proximity head is configured to sequentially dispensing a reactant gas and a purging gas to deposit a thin ALD film under the proximity head. The proximity head covers an active process region of a substrate surface.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: October 16, 2012
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, Mikhail Korolik, Fritz C. Redeker, John M. Boyd, Yezdi Dordi
  • Publication number: 20120252149
    Abstract: Provided is a method of manufacturing an organic electroluminescence display device including an emission region, the emission region including multiple organic compound layers arranged therein, each of the organic compound layers being provided between a pair of electrodes and including at least an emission layer, the method including: forming in the entire emission region an organic compound layer which is insoluble in water; forming on the organic compound layer a mask layer containing a water-soluble material in a predetermined pattern; removing a part of the organic compound layer which is formed in a region which is not covered with the mask layer; removing the mask layer; drying the organic compound layer; and forming a common layer on the organic compound layers, in which the steps from the drying of the organic compound layer to the forming of a common layer are carried out in a vacuum.
    Type: Application
    Filed: March 13, 2012
    Publication date: October 4, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Tomoyuki Hiroki, Taro Endo, Itaru Takaya, Koichi Ishige, Nobuhiko Sato
  • Publication number: 20120251720
    Abstract: An apparatus for collecting condensed vapor during physical vapor deposition includes an enclosure configured to be placed adjacent to one or more vapor sources in a vacuum chamber. The enclosure includes an internal surface of the enclosure partially enclosing a volume of space configured to receive an object wherein the enclosure is maintained at a cooler temperature than the one or more vapor sources. The internal surface of the enclosure is coupled to one or more drainage gutters drainage drainage gutters.
    Type: Application
    Filed: March 31, 2011
    Publication date: October 4, 2012
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: QIAN GUO, Mark Smura, Michael Joseph Stirniman, Hamid Riahi Samani
  • Publication number: 20120251738
    Abstract: Embodiments relate to applying a magnetic field across the paths of injected polar precursor molecules to cause spiral movement of the precursor molecules relative to the surface of a substrate. When the polar precursor molecules arrive at the surface of the substrate, the polar precursor molecules make lateral movements on the surface due to their inertia. Such lateral movements of the polar precursor molecules increase the chance that the molecules would find and settle at sites (e.g., nucleation sites, broken bonds and stepped surface locations) or react on the surface of the substrate. Due to the increased chance of absorption or reaction of the polar precursor molecules, the injection time or injection iterations may be reduced.
    Type: Application
    Filed: March 2, 2012
    Publication date: October 4, 2012
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventor: Sang In LEE
  • Publication number: 20120244687
    Abstract: A method and system are provided for manufacturing a base substrate that is used in manufacturing semi-conductor on insulator type substrate. The base substrate may be manufactured by providing a silicon substrate having an electrical resistivity above 500 Ohm·cm; cleaning the silicon substrate so as to remove native oxide and dopants from a surface thereof; forming, on the silicon substrate, a layer of dielectric material; and forming, on the layer of dielectric material, a layer of poly-crystalline silicon. These actions are implemented successively in an enclosure.
    Type: Application
    Filed: March 21, 2012
    Publication date: September 27, 2012
    Applicant: SOITEC
    Inventors: Oleg Kononchuk, Frederic Allibert
  • Patent number: 8262846
    Abstract: A blow-off part 152 is provided with a blow-off port 1a? which is dimensioned small enough so as not to allow a blow-off stream to be blown off directly to a part of a wafer W which part is located at the more internal side of the wafer than the outer edge of the wafer W and not to be subjected to plasmatizing process. A suction part 151 is provided with a suction port 81A in associating with the blow-off part 152. The suction port 81A is disposed proximate to the blow-off port 1a? and forms a suction stream oriented generally in the reverse direction with respect to the blow-off stream.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: September 11, 2012
    Assignee: Sekisui Chemical Co., Ltd.
    Inventors: Mitsuhide Nogami, Eiji Miyamoto
  • Publication number: 20120225004
    Abstract: In a physical vapor transport growth technique for silicon carbide a silicon carbide powder and a silicon carbide seed crystal are introduced into a physical vapor transport growth system and halosilane gas is introduced separately into the system. The source powder, the halosilane gas, and the seed crystal are heated in a manner that encourages physical vapor transport growth of silicon carbide on the seed crystal, as well as chemical transformations in the gas phase leading to reactions between halogen and chemical elements present in the growth system.
    Type: Application
    Filed: May 15, 2012
    Publication date: September 6, 2012
    Applicant: II-VI INCORPORATED
    Inventors: Ilya Zwieback, Thomas E. Anderson, Avinash K. Gupta
  • Patent number: 8235487
    Abstract: The present teachings relate to methods and apparatus for depositing one or more materials (e.g., one or more films, such as one or more solids) on one or more substrates, which may form part of an OLED or other type(s) of display. In some embodiments, the disclosure relates to apparatus and methods for depositing ink on one or more substrates. The apparatus can include, for example, one or more chambers for receiving ink, and plural orifices configured in the one or more chambers which are adapted for ejecting droplets of the ink; a discharge nozzle comprising an array of micro-pores (e.g., configured in a rectangular array), with each micro-pore having an inlet port and an outlet port, and the discharge nozzle receiving plural quantities (e.g., droplets) of ink from the chamber(s) via the orifices at the inlet ports and dispensing the ink from the outlet ports. The droplets of ink can be received at unique, spaced-apart locations on the inlet ports of the discharge nozzle.
    Type: Grant
    Filed: January 5, 2010
    Date of Patent: August 7, 2012
    Assignee: Kateeva, Inc.
    Inventors: Conor F. Madigan, Eliyahu Vronsky
  • Publication number: 20120192793
    Abstract: Provided is a film forming apparatus which forms a film on a substrate held within a film forming container by supplying raw material gas onto the substrate. The apparatus includes: a supply mechanism which supplies the raw material gas into the container; an exhaust mechanism which exhausts gas from the container; a trap unit which is disposed in the course of an exhaust passage through which gas flows from the container to the exhaust mechanism, and traps the raw material gas by extracting a product containing the raw material gas; a purge gas supplying unit which is connected to join the exhaust passage between the container and the trap unit and supplies purge gas into the exhaust passage; and a pressure gauge which is disposed in a purge gas supplying passage through which the purge gas flows from the purge gas supplying unit into the exhaust passage.
    Type: Application
    Filed: January 31, 2012
    Publication date: August 2, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Koji FUKUMORI
  • Publication number: 20120192790
    Abstract: An apparatus with two-chamber structure for growing silicon carbide (SiC) crystals is disclosed. The apparatus comprises a sample feed chamber and a crystal growth chamber, both of which are separately connected with each other by a vacuum baffle valve and connected with a vacuum system. The crystal growth apparatus ensures that the insulation materials in the crystal growth chamber cannot contact with air, minimizes the adsorption of nitrogen and pollutants on the insulation materials and the growth chamber, improves purity of SiC crystals and achieves precise control of the impurities so that growth of high-quality SiC crystals such as conductive, doped semi-insulating or high-purity semi-insulating SiC crystals and the like is enabled.
    Type: Application
    Filed: June 22, 2010
    Publication date: August 2, 2012
    Inventors: Zhizhan Chen, Erwei Shi, Chengfeng Yan, Bing Xiao
  • Publication number: 20120164841
    Abstract: An apparatus and method for combinatorial non-contact wet processing of a liquid material may include a source of a liquid material, a first reaction cell, a second reaction cell, a first plurality of gas jets disposed within an interior of the first reaction cell, the first plurality of gas jets configured to atomize the liquid material transferred to the interior of the first reaction cell, a second plurality of gas jets disposed within an interior of the second reaction cell, the second plurality of gas jets configured to atomize the liquid material transferred to the interior of the second reaction cell, a first vacuum element disposed along a periphery of the first reaction cell, and a second vacuum element disposed along a periphery of the at least a second reaction cell.
    Type: Application
    Filed: December 23, 2010
    Publication date: June 28, 2012
    Inventor: Rajesh Kelekar