With Treating Means (e.g., Jarring) Patents (Class 118/722)
  • Publication number: 20120164776
    Abstract: An apparatus and associated method for vapor deposition of a sublimated source material as a thin film on a photovoltaic (PV) module substrate includes a deposition head wherein a source material is sublimated. A distribution manifold is provided with a plurality of passages defined therethrough for passage of the sublimated source material to the substrate. A shutter plate is disposed above the distribution manifold and includes a plurality of passages therethrough that align with the passages in the distribution manifold in a first position of the shutter plate. The shutter plate is movable to a second position wherein the shutter plate blocks the passages in the distribution manifold to flow of sublimated material therethrough. A lifting mechanism is configured between the shutter plate and the distribution manifold to lift and move the shutter plate between the first and second positions without sliding the shutter plate on the distribution manifold.
    Type: Application
    Filed: December 23, 2010
    Publication date: June 28, 2012
    Applicant: PrimeStar Solar, Inc.
    Inventors: Christopher Rathweg, Edwin Jackson Little
  • Publication number: 20120148728
    Abstract: Methods and apparatus for the production of high purity silicon including a silicon deposition reactor with a gas distribution plate for injecting gas into the silicon deposition reactor.
    Type: Application
    Filed: December 9, 2010
    Publication date: June 14, 2012
    Applicant: SILIKEN SA
    Inventors: Manuel Vincente Vales Canle, Maria Tomas Martinez, Javier San-Segundo Sanchez
  • Patent number: 8186300
    Abstract: A plasma processing apparatus for processing a surface of a to-be-processed substrate includes a processing chamber, a first electrode provided in the processing chamber, a second electrode arranged in opposition to the first electrode, a main power source for supplying the first or second electrode with power for generating a plasma, a biasing power source for supplying the second or first electrode with biasing power, a gas supplying unit for supplying a processing gas into the processing chamber and a control unit for controlling the main power source, the biasing power source and the gas supplying unit. The control unit performs a control such that, during a time of transition from a stationary state of plasma, in which a plasma processing is to be carried out, to a plasma quenching, an output of the main power source is kept not larger than an output of the biasing power source.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: May 29, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takamasa Ichino, Ryoji Nishio, Tomoyuki Tamura, Shinji Obama
  • Publication number: 20120125260
    Abstract: A system for abating a simultaneous flow of silane and arsine contained in an exhaust gas of DRAM processing chamber. The system includes a CVD abatement apparatus and a resin-type adsorber. The CVD abatement apparatus comprises an enclosure that defines a chamber for receiving the exhaust gas. The enclosure contains a plurality of removable substrates arranged as a series of baffles inside the enclosure. As the exhaust gas flows through the CVD abatement apparatus, the silicon within the silane is deposited as a film upon the substrates by chemical vapor deposition. The arsine continues to flow through the CVD apparatus to the adsorber where it is adsorbed by the resin contained therein. After the film has reached a particular thickness, the substrates can be removed from the enclosure, cleaned of the film and returned to the enclosure for further use.
    Type: Application
    Filed: April 19, 2007
    Publication date: May 24, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kurt A. Carlsen
  • Patent number: 8172946
    Abstract: Stagnation of gas used for substrate processing in an exhaust trap is prevented, and localized precipitation of components in the gas used for substrate processing is reduced.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: May 8, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomoshi Taniyama, Yoshikazu Takashima, Mikio Ohno
  • Publication number: 20120108002
    Abstract: The apparatus for thin film deposition for solar cells includes multiple unit chambers divided by a substrate as a boundary, a deposition gas injecting unit injecting deposition gases independently to each of the multiple unit chambers, and a decomposition unit in each of the multiple unit chambers to decompose the deposition gases, wherein both surfaces of the substrate each are exposed to the multiple unit chambers. The apparatus and the method for producing solar cells allow deposition on both surfaces of a substrate while the substrate is fixed without any rotation. Therefore, the number of processing units required for carrying out deposition is decreased, thereby providing high cost efficiency. Further, it results in a decrease in time during which the substrate is exposed to the exterior, thereby minimizing contamination of the surfaces of the substrate. As a result, it is possible to provide solar cells having excellent reliability.
    Type: Application
    Filed: September 25, 2011
    Publication date: May 3, 2012
    Applicant: Korea Institute of Energy Research
    Inventors: Jeongchul LEE, Jinsoo SONG, Junsik CHO, Sanghyun PARK
  • Publication number: 20120097103
    Abstract: A physical vapor deposition device includes a deposition chamber, a workpiece carrier received in the deposition chamber, a plurality of plate-shaped inner targets, and a plurality of plate-shaped outer targets. The workpiece carrier rotates about a rotation axis thereof. The workpiece carrier includes an inner carrier, and an outer carrier fixed relative to the inner carrier. The outer carrier surround the inner carrier. The inner targets are arranged between the inner carrier and the outer carrier. The outer targets surround the outer carrier.
    Type: Application
    Filed: February 28, 2011
    Publication date: April 26, 2012
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: CHUNG-PEI WANG
  • Publication number: 20120090990
    Abstract: One or more embodiments of the invention are directed to deposition apparatuses comprising a grounded top wall, a processing chamber and a plasma source assembly having a conductive hollow cylinder and a magnet outside the conductive hollow cylinder capable of affecting the current density on the conductive hollow cylinder.
    Type: Application
    Filed: October 18, 2010
    Publication date: April 19, 2012
    Applicant: Applied Materials, Inc.
    Inventor: Michael S. Cox
  • Patent number: 8153454
    Abstract: A fabrication apparatus and fabrication method of a semiconductor device are provided, allowing the temperature distribution of a substrate to be rendered uniform. The fabrication apparatus for a semiconductor device includes a susceptor holding the substrate, a heater arranged at a back side of the susceptor, a support member located between the substrate and susceptor, including a support portion, and a spacer located between the susceptor and support member. The spacer has an opening formed corresponding to the site where said support portion is located, at an opposite face side of the support member.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: April 10, 2012
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Masaki Ueno, Toshio Ueda, Yoko Watanabe
  • Publication number: 20120055402
    Abstract: A processing apparatus includes a gas supply passage for supplying a corrosive gas having a halogen, a part of the passage being made of a metal; a stabilization reaction unit which has an energy generator for supplying light energy or heat energy to the corrosive gas that has passed through the metallic part of the gas supply passage and/or has an obstacle configured to apply a collision energy to the corrosive gas that has passed through the metallic part of the gas supply passage, the collision energy being generated from a collision between the obstacle and said corrosive gas. A reaction for stabilizing a compound containing the metal and the halogen contained in the corrosive gas takes place by means of at least one of the light energy, heat energy, and collision energy; and a trapping unit which traps the compound stabilized in the stabilization reaction unit.
    Type: Application
    Filed: March 30, 2010
    Publication date: March 8, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuji Moriya, Toyohiko Shindo, Noboru Tamura
  • Patent number: 8128753
    Abstract: In one embodiment the disclosure relates to an apparatus for depositing an organic material on a substrate, including a source heater for heating organic particles to form suspended organic particles; a transport stream for delivering the suspended organic particles to a discharge nozzle, the discharge nozzle having a plurality of micro-pores, the micro-pores providing a conduit for passage of the suspended organic particles; and a nozzle heater for pulsatingly heating the micro-pores nozzle to discharge the suspended organic particles from the discharge nozzle.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: March 6, 2012
    Assignee: Massachusetts Institute of Technology
    Inventors: Vladimir Bulovic, Marc A. Baldo, Martin A. Schmidt, Valerie LeBlanc, Jianglong Chen
  • Publication number: 20120052216
    Abstract: Embodiments of the present invention provide methods and apparatus for surface coatings applied to process chamber components utilized in chemical vapor deposition processes. In one embodiment, the apparatus provides a showerhead apparatus comprising a body, a plurality of conduits extending through the body, each of the plurality of conduits having an opening extending to a processing surface of the body, and a coating disposed on the processing surface, the coating being about 50 microns to about 200 microns thick and comprising a coefficient of emissivity of about 0.8, an average surface roughness of about 180 micro-inches to about 220 micro-inches, and a porosity of about 15% or less.
    Type: Application
    Filed: June 6, 2011
    Publication date: March 1, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hiroji Hanawa, Kyawwin Jason Maung, Hua Chung, Jie Cui, David Bour, Wei-Yung Hsu, Liang-Yuh Chen
  • Publication number: 20120048195
    Abstract: A method for mass production of graphene and carbon tubes is presented. A carbon-containing gas (CCG) inside a set of thin gaps formed by an array of flat plates, or small multiple bores in a cylindrical shell, is maintained under free molecular conditions at all times. A train of intermittent light pulses of a tunable high power laser beam compatible with the CCG's major absorption bands is sent through the CCG inside the gaps, or bores, to cause dissociation of the carbon atoms from the CCG molecules in said molecules' one mean free path of flight and deposition of said atoms onto the adjacent solid surfaces (plate or bore walls) during each pulse, and after a pre-determined number of pulses to form a one-atom-thick layer of hexagonal lattice of carbon atoms. Said carbon atom layers on the flat plate surfaces are graphene, those on the shell bore walls carbon tubes. Large quantity and size, and predicted high quality of products are special features of this method.
    Type: Application
    Filed: September 1, 2010
    Publication date: March 1, 2012
    Inventor: Jaw Tian Lin
  • Publication number: 20120045581
    Abstract: A hydrophobizing agent is supplied to a substrate and a surface of the substrate is hydrophobized. Thereafter, the substrate is dried. The substrate to be processed is maintained in a state of not contacting water until it is dried after being hydrophobized. Collapse of a pattern formed on the substrate surface is thereby suppressed or prevented.
    Type: Application
    Filed: August 18, 2011
    Publication date: February 23, 2012
    Inventors: Masahiro KIMURA, Tomonori KOJIMARU, Tetsuya EMOTO, Manabu OKUTANI, Masayuki OTSUJI
  • Patent number: 8118941
    Abstract: Holes in semiconductor processing reactor parts are sized to facilitate deposition of protective coatings, such as by chemical vapor deposition at atmospheric pressure. In some embodiments, the holes each have a flow constriction that narrows the holes in one part and that also divides the holes into one or more other portions. In some embodiments, the aspect ratios of the one or more other portions are about 15:1 or less, or about 7:1 or less, and have a cylindrical or conical cross-sectional shape. The holes are coated with a protective coating, such as a silicon carbide coating, by chemical vapor deposition, including chemical vapor deposition at atmospheric pressure.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: February 21, 2012
    Assignee: ASM International N.V.
    Inventor: Vladimir Kuznetsov
  • Publication number: 20120040515
    Abstract: A semiconductor light-emitting device has a semiconductor layer containing Al between a substrate and an active layer containing nitrogen, wherein Al and oxygen are removed from a growth chamber before growing said active layer and a concentration of oxygen incorporated into said active layer together with Al is set to a level such that said semiconductor light-emitting device can perform a continuous laser oscillation at room temperature.
    Type: Application
    Filed: June 21, 2011
    Publication date: February 16, 2012
    Inventors: Takashi Takahashi, Morimasa Kaminishi, Shunichi Sato, Akihiro Itoh, Naoto Jikutani
  • Publication number: 20120031334
    Abstract: A film-forming apparatus includes a processing chamber, and TiCl4 gas and NH3 gas are supplied into the processing chamber for forming a TiN film on a substrate W in the processing chamber by CVD. The processing chamber has a gas exhaust system. The gas exhaust system includes a gas exhaust pipe for exhausting the exhaust gas in the processing chamber a trap mechanism provided to the gas exhaust pipe for trapping a by-product in the exhaust gas, and a heated reaction gas supply mechanism for supplying a heated reaction gas into the exhaust gas. The heated reaction gas is adapted to react with a component in the exhaust gas to produce a by-product. Specifically, NH3 gas is supplied by the heated reaction gas supply mechanism as the heated reaction gas, and NH4Cl is produced as the by-product.
    Type: Application
    Filed: October 19, 2011
    Publication date: February 9, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Einosuke TSUDA
  • Publication number: 20120027922
    Abstract: Apparatus is generally provided for vapor deposition of a sublimated source material as a thin film on a photovoltaic module substrate. The apparatus includes a distribution plate disposed below the distribution manifold and at a defined distance above a horizontal conveyance plane of an upper surface of a substrate conveyed through the apparatus. The distribution plate defines a pattern of passages therethrough configured to provide greater resistance to the flow of sublimated source vapors at a first longitudinal end than a second longitudinal end. A process for vapor deposition of a sublimated source material to form thin film on a photovoltaic module substrate is also provided via distributing the sublimated source material onto an upper surface of the substrates through a distribution plate positioned between the upper surface of the substrate and the receptacle.
    Type: Application
    Filed: December 22, 2010
    Publication date: February 2, 2012
    Applicant: PRIMESTAR SOLAR, INC.
    Inventor: Mark Jeffrey Pavol
  • Publication number: 20120027954
    Abstract: Methods and apparatus for depositing thin films having high thickness uniformity and low resistivity are provided herein. In some embodiments, a magnetron assembly includes a shunt plate, the shunt plate rotatable about an axis, an inner closed loop magnetic pole coupled to the shunt plate, and an outer closed loop magnetic pole coupled the shunt plate, wherein an unbalance ratio of a magnetic field strength of the outer closed loop magnetic pole to a magnetic field strength of the inner closed loop magnetic pole is less than about 1. In some embodiments, the ratio is about 0.57. In some embodiments, the shunt plate and the outer close loop magnetic pole have a cardioid shape. A method utilizing RF and DC power in combination with the inventive magnetron assembly is also disclosed.
    Type: Application
    Filed: July 25, 2011
    Publication date: February 2, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ZHENDONG LIU, YONG CAO, XIANMIN TANG, SRINIVAS GANDIKOTA, THANH NGUYEN, MUHAMMAD RASHEED
  • Publication number: 20120017832
    Abstract: A vapor deposition apparatus includes a susceptor, a gas supply unit, a heating unit and a rotation unit. The susceptor has a first substrate-holding portion and a second substrate-holding portion. The first substrate-holding portion has a first depth, and the second substrate-holding portion has a second depth that is larger than the first depth. The gas supply unit supplies precursors to the susceptor. The heating unit is used to heat the susceptor. The rotation unit can rotate the susceptor so that the heating unit can uniformly heat the susceptor. Because the second depth is larger than the first depth, the substrate held in the second substrate-holding portion can not directly contact the susceptor with a higher temperature and thus its temperature is lower than the second substrate-holding portion, so as to maintain the uniformity of the properties of the manufactured chips.
    Type: Application
    Filed: January 20, 2011
    Publication date: January 26, 2012
    Inventors: Wei-Cheng CHEN, Zong-Lin Lee, Kung-Ming Liang, Yung-Hsin Shie
  • Publication number: 20120021128
    Abstract: Systems and methods for depositing a thin film on a flexible substrate involve guiding the flexible substrate along a spiral transport path back and forth between spaced-apart first and second precursor zones so that the substrate transits through the first and second precursor zones multiple times and each time through an intermediate isolation zone without mechanically contacting an outer surface of the substrate with a substrate transport mechanism, thereby inhibiting mechanical damage to the thin film deposited on the outer surface, which may improve barrier layer performance of the thin film.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 26, 2012
    Applicant: Lotus Applied Technology, LLC
    Inventor: Eric R. Dickey
  • Publication number: 20120006267
    Abstract: An apparatus for processing coating material includes a crucible having a cylindrical receptacle for receiving coating material, a drive member having a drive shaft, and a cover coupled to the drive shaft. The cover has a flat surface. The drive shaft is configured to drive the cover to rotate thereabout between a closed position where the cover covers the receptacle and the flat surface presses against the coating material to flatten the coating material, and an open position where the cover is moved away from the receptacle.
    Type: Application
    Filed: November 11, 2010
    Publication date: January 12, 2012
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: SHAO-KAI PEI
  • Patent number: 8093142
    Abstract: There is provided a plasma processing device capable of forming a film in a favorable manner irrespective of deflection generated in an anode electrode and a cathode electrode in the case where an area of the electrodes is increased. A plasma processing device 100 includes a chamber 15, a gas introducing portion 28, an exhaust unit 29, and a high-frequency power supply unit 30. In the chamber 15, there are provided an anode electrode (first electrode) 4 having a flat-plate shape, a cathode electrode (second electrode) 12 having a flat-plate shape, and first supporting members 6 and second supporting members 5 for slidably supporting the two electrodes 4 and 12 in parallel with each other. The cathode electrode 12 is provided so as to face the anode electrode 4. The anode electrode 4 and the cathode electrode 12 are not fixed with screws or the like but are merely placed on the first supporting members 6 and the second supporting members 5.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: January 10, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yusuke Fukuoka, Katsushi Kishimoto
  • Publication number: 20110311724
    Abstract: In a Chemical Vapour Deposition (CVD) process for forming carbon nanomaterials, a supply of acetylene gas is filtered by a filter to remove a volatile hydrocarbon gas before the acetylene gas is provided to a mass flow controller. The mass flow controller can mix the filtered acetylene gas with a supply of the volatile hydrocarbon gas so that a gas mixture has a selected proportion of the volatile hydrocarbon gas. The filter performs the filtering by passing the acetylene gas over active carbon.
    Type: Application
    Filed: January 28, 2010
    Publication date: December 22, 2011
    Applicant: SURREY NANOSYSTEMS LTD
    Inventors: Ben Poul Jensen, Guan Yow Chen
  • Publication number: 20110311714
    Abstract: Arrangements are provided for assembling multiple substrates for coating within a fluidized bed coater so as to deposit a coating of uniform thickness across the entire exterior surface thereof. One embodiment includes a method for coating orthopedic implants having convex and concave surfaces with pyrocarbon by pyrolytic decomposition of a hydrocarbon.
    Type: Application
    Filed: February 22, 2011
    Publication date: December 22, 2011
    Inventors: Mark E. Seeley, Fletcher Derek Southard, Mike James Mewhort, Joseph Earl Robinson, Roger William Leikam, Jeff Wayne Stark, Brett A. Bickerton, Jonathan C. Stupka, David Wilde, Cephas M. Wozencraft
  • Publication number: 20110311735
    Abstract: Methods and apparatus to improve target life and deposition uniformity in PVD chambers are provided herein. In some embodiments, a magnetron assembly includes a shunt plate having a central axis, the shunt plate rotatable about the central axis, a first open loop magnetic pole arc coupled to the shunt plate at a first radius from the central axis, and a second open loop magnetic pole arc coupled the shunt plate at a first distance from the first open loop magnetic pole arc, wherein at least one of the first radius varies along the first open loop magnetic pole arc or the first distance varies along the second open loop magnetic pole arc. In some embodiments, a first polarity of the first open loop magnetic pole arc opposes a second polarity of the second open loop magnetic pole arc.
    Type: Application
    Filed: June 20, 2011
    Publication date: December 22, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: RONGJUN WANG, SALLY LOU, MUHAMMAD RASHEED, JIANXIN LEI, XIANMIN TANG, SRINIVAS GANDIKOTA, RYAN HANSON, TZA-JING GUNG, KEITH A. MILLER, THANH X. NGUYEN
  • Publication number: 20110281031
    Abstract: The present invention relates to a vacuum deposition facility for depositing a metal alloy coating on a substrate (7), said facility being equipped with a vapour generator/mixer comprising a vacuum chamber (6) in the form of an enclosure provided with means for creating a vacuum state therein relative to the external environment and provided with means for the entry and exit of the substrate (7), while still being essentially sealed from the external environment, said enclosure including a vapour deposition head, called the injector (3), configured so as to create a jet of metal alloy vapour of sonic velocity towards the surface of the substrate (7) and perpendicular thereto, said ejector (3) being in sealed communication with a separate mixer device (14), which is itself connected upstream to at least two crucibles (11, 12) respectively, these containing different metals M1 and M2 in liquid form, each crucible (11, 12) being connected to the mixer (14) by its own pipe (4, 4?).
    Type: Application
    Filed: December 17, 2009
    Publication date: November 17, 2011
    Inventors: Eric Silberberg, Luc Vanhee, Bruno Schmitz, Maxime Monnoyer
  • Publication number: 20110268891
    Abstract: A gas delivery device is for use in low pressure Atomic Layer Deposition at a substrate location. The device includes a first generally elongate injector for supplying process gas to a process zone, a first exhaust zone circumjacent the process zone, and a further injector circumjacent the first exhaust gas for supplying purge or inert gas at an outlet surrounding the process zone having a wall for facing the location circumjacent the outlet to define at least a partial gas seal.
    Type: Application
    Filed: July 13, 2009
    Publication date: November 3, 2011
    Applicant: SPP PROCESS TECHNOLOGY SYSTEMS UK LIMITED
    Inventors: John MacNeil, Robert Jeffrey Bailey
  • Publication number: 20110263123
    Abstract: Provided is a placing table structure which is disposed in a processing container and has a subject to be processed thereon so as to form a thin film on the subject in the processing container by using raw material gas which generates thermal decomposition reaction having reversibility. The placing table structure is provided with a placing table for placing the subject to be processed on a placing surface, i.e., an upper surface of the placing table structure, and a decomposition restraint gas supply means which is arranged in the placing table for the purpose of supplying decomposition restraint gas, which restraints thermal decomposition of the raw material gas, toward a peripheral section of the subject placed on the placing surface of the placing table.
    Type: Application
    Filed: August 4, 2009
    Publication date: October 27, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Atsushi Gomi, Yasushi Mizusawa, Tatsuo Hatano, Masamichi Hara, Kaoru Yamamoto, Satoshi Taga
  • Publication number: 20110256659
    Abstract: A solar cell manufacturing method according to the present invention is a solar cell manufacturing method that forms a transparent conductive film of ZnO as an electric power extracting electrode on a light incident side, the method comprises at least in a following order: a process A forming the transparent conductive film on a substrate by applying a sputtering voltage to sputter a target made of a film formation material for the transparent conductive film; a process B forming a texture on a surface of the transparent conductive film; a process C cleaning the surface of the transparent conductive film on which the texture has been formed using an UV/ozone; and a process D forming an electric power generation layer on the transparent conductive film.
    Type: Application
    Filed: October 27, 2009
    Publication date: October 20, 2011
    Applicant: ULVAC, INC.
    Inventors: Hirohisa Takahashi, Satoru Ishibashi, Sadayuki Ukishima, Masahide Matsubara, Satoshi Okabe
  • Patent number: 8039052
    Abstract: The various embodiments of the invention provide for relative movement of the substrate and a process head to access the entire wafer in a minimal space to conduct combinatorial processing on various regions of the substrate. The heads enable site isolated processing within the chamber described and method of using the same are described.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: October 18, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Kurt Weiner, Indranil De, James Tsung, Maosheng Zhao
  • Publication number: 20110247560
    Abstract: A disclosed substrate processing apparatus comprises a reaction chamber; a substrate supporting portion that is provided in the reaction chamber and configured to support a substrate; and plural catalyst reaction portions that are arranged in the reaction chamber in order to oppose the substrate supporting portion, and configured to produce a reaction gas by allowing a source gas introduced from a gas introduction portion to contact a catalyst and to eject the reaction gas to an inner space of the reaction chamber, thereby processing the substrate supported by the substrate supporting portion with the ejected reaction gas.
    Type: Application
    Filed: November 19, 2009
    Publication date: October 13, 2011
    Applicants: TOKYO ELECTRON LIMITED, NATIONAL UNIVERSITY CORPORATION NAGAOKA UNIVERSITY OF TECHNOLOGY
    Inventors: Kanji Yasui, Hiroshi Nishiyama, Yasunobu Inoue, Mitsuru Ushijima, Katsuhiko Iwabuchi
  • Patent number: 8034177
    Abstract: An inner electrode for barrier film formation is an inner electrode for barrier film formation that is inserted inside a plastic container having an opening, supplies a medium gas to the inside of the plastic container, and supplies high frequency power to an outer electrode arranged outside the plastic container, thereby generating discharge plasma on the inner surface of the plastic container to form a barrier film on the inner surface of the plastic container, and that is provided with a gas supply pipe (101) having a gas flow path (101a) to supply a medium gas (G) and an insulating member (103) screwed into an end portion of the gas supply pipe (101) to be flush therewith and having a gas outlet (102) communicated with the gas flow path (101a).
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: October 11, 2011
    Assignee: Mitsubishi Heavy Industries Food & Packaging Machinery Co., Ltd.
    Inventors: Seiji Goto, Hideo Yamakoshi, Atsushi Ueda, Kenichi Okamoto, Yuji Asahara, Minoru Danno
  • Publication number: 20110237051
    Abstract: A deposition process involves the formation of multicomponent semiconductor layers, in particular III-V epitaxial layers, on a substrate. Due to pyrolytic decomposition inside the reaction chamber, one of the process gases forms a first decomposition product. Together with a second decomposition product of a second process gas, the decomposition products form a layer on the surface of a heated substrate and also adhere to surfaces of the process chamber. To remove these adherences, during an etching step a purge gas containing a reactive substance formed by free radicals is introduced into the process chamber. The etching step may be performed before or after the deposition process.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 29, 2011
    Inventors: Kenneth Lee Hess, Simon Charles Stewart Thomas, Johannes Kappeler
  • Publication number: 20110230008
    Abstract: Embodiments of the present invention are directed to apparatus and methods for depositing amorphous and microcrystalline silicon films during the formation of solar cells. Specifically, embodiments of the invention provide for a pre-heated hydrogen-containing gas to be introduced into a processing chamber separately from the silicon-containing gas. A plasma, struck from the heated hydrogen-containing gas, reacts with the silicon-containing gas to produce a silicon film on a substrate.
    Type: Application
    Filed: May 4, 2010
    Publication date: September 22, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Annamalai Lakshmanan, Truc T. Tran, Jeffrey S. Sullivan, Jianshe Tang
  • Publication number: 20110230055
    Abstract: A film forming apparatus and a film forming method for suppressing a drop in the film forming speed caused by-product gas are provided. A film forming apparatus for forming a film on a wafer includes a chamber in which the wafer is located; a gas introducing member configured to introduce raw material gas into the chamber, in which the raw material gas turning into by-product gas and a substance which adheres to the surface of the wafer by reacting at a surface of the wafer; and a reverse reaction member configured to generate the raw material gas by causing the by-product gas to react in the chamber.
    Type: Application
    Filed: June 1, 2011
    Publication date: September 22, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Takahiro ITO, Kenji NAKASHIMA
  • Publication number: 20110214610
    Abstract: It is an object to provide a homogeneous semiconductor substrate in which defective bonding is reduced. Such a semiconductor substrate can be formed by the steps of: disposing a first substrate in a substrate bonding chamber which includes a substrate supporting base where a plurality of openings is provided, substrate supporting mechanisms provided in the plurality of openings, and raising and lowering mechanisms which raise and lower the substrate supporting mechanisms; disposing a second substrate over the first substrate so as not to be in contact with the first substrate; and bonding the first substrate to the second substrate by using the raising and lowering mechanisms to raise the substrate supporting mechanisms.
    Type: Application
    Filed: May 19, 2011
    Publication date: September 8, 2011
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Takatsugu Omata, Tomoaki Moriwaka, Hideto Ohnuma
  • Patent number: 8007591
    Abstract: A substrate holder (20) for supporting a substrate (30). A heating component (50) is positioned adjacent to a supporting surface and between the supporting surface and a cooling component (60). A fluid gap is positioned between the cooling component and the heating component, the fluid gap configured to receive a fluid to increase thermal conduction between the cooling component and the heating component. A brazing material is disposed between the cooling component and the heating component, the brazing material disposed adjacent to the fluid gap.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Thomas Hamelin
  • Publication number: 20110192347
    Abstract: Substrate processing of a substrate is performed in a processing chamber and the evenness in in-plane film thickness is enhanced. An exhaust unit exhausts the atmosphere in the processing chamber and a processing gas is supplied that is excited by an exciting unit. A rotational drive unit horizontally rotates a support unit that supports a mounting substrate on which the substrate is mounted; and a coolant supply/discharge unit is connected to the lower end of the support unit through a connecting unit. The substrate mounting unit has a coolant circulation path therein. The support unit includes a first coolant flow path for passing coolant through the coolant circulation path. The coolant supply/discharge unit includes a second coolant flow path. The connecting unit connects the first coolant flow path and the second coolant flow path together and is provided outside the processing chamber.
    Type: Application
    Filed: April 18, 2011
    Publication date: August 11, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshihiko YANAGISAWA, Mitsuro TANABE, Harunobu SAKUMA, Tadashi TAKASAKI
  • Patent number: 7993462
    Abstract: A substrate-supporting device has a top surface for placing a substrate thereon composed of a plurality of surfaces separated from each other and defined by a continuous concavity being in gas communication with at least one through-hole passing through the substrate-supporting device in its thickness direction. The continuous concavity is adapted to allow gas to flow in the continuous concavity and through the through-hole under a substrate placed on the top surface.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: August 9, 2011
    Assignee: ASM Japan K.K.
    Inventor: Satoshi Takahashi
  • Publication number: 20110186537
    Abstract: Systems, apparatus and methods are provided to apply barrier and/or lubricant materials onto the interior surface of a container, such systems including a container having a chamber; a gas supply source for supplying monomer gas through a gas inlet duct having a portion extending into the chamber; a photolysis source and/or pyrolyzing surface for photolyzing and/or pyrolyzing at least a portion of the monomer gas to form a reactive gas comprising at least one reactive moiety; optionally a temperature controller for maintaining the interior surface of the container at a temperature which is less than the temperature of the pyrolyzing surface to facilitate deposition and polymerization of the reactive moiety on the interior surface of the container; and an outlet duct at the open end or a second end of the container for removing excess reactive gas from the chamber.
    Type: Application
    Filed: September 22, 2009
    Publication date: August 4, 2011
    Applicant: BECTON, DICKINSON AND COMPANY
    Inventors: Nestor Rodriguez San Juan, Srinivasan Sridharan
  • Publication number: 20110185973
    Abstract: The invention relates to a CVD-reactor for depositing layers made of a reaction gas onto workpieces. Said reactor comprises an elongate, vertical reaction chamber that is defined by a reactor wall and a reactor base, an inlet line for guiding the reaction gas into the reaction chamber, entering into the region of the reactor base in the reaction chamber, a central outlet line that guides the used reaction gas out of the reaction chamber and that extends out of the reactor chamber in the region of the reactor base, a tier-like workpiece receiving element that is arranged in a central manner in the reaction chamber and can be rotated about the central axis thereof.
    Type: Application
    Filed: July 21, 2009
    Publication date: August 4, 2011
    Applicant: IONBOND AG OLTEN
    Inventors: Michael Auger, Renato Bonetti, Hristo Strakov
  • Patent number: 7988786
    Abstract: The present invention provides a carbon film coated member comprising: a base material; and a coated film formed on at least part of a surface of the base material, the coated film comprising: a matrix composed of amorphous carbon; and at least one of metal and metal carbide contained in the matrix, wherein an atomic ratio (M/C) of the metal (M) to carbon (C) constituting the coated film is 0.01 to 0.7. According to the above structure, there can be provided a carbon film coated member excellent in low-friction property, wear resistance and durability, and capable of suppressing a dust generation, peeling-off and deterioration of the coated film even if the carbon film coated member is used as semiconductor equipment members such as wafer cassette, dummy wafer, probe pin or the like under severe operating conditions, so that the carbon film coated member would not exert a bad influence onto the resultant semiconductor products.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: August 2, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Michio Sato, Takashi Yamanobe
  • Publication number: 20110177233
    Abstract: The invention relates to an apparatus (100) for providing a layer of a material from a precursor gas on a deposition surface (112) of a substrate (110). The apparatus includes a deposition chamber (102) and a trap surface (116) for trapping reactive constituents of the precursor gas, the trap surface (116) being arranged such that at least part of the precursor gas flows from a precursor gas inlet (104) along the trap surface (116) before reaching the deposition surface (112) of the substrate (110).
    Type: Application
    Filed: January 18, 2008
    Publication date: July 21, 2011
    Applicant: NXP, B.V.
    Inventor: Erwann Picard
  • Publication number: 20110162581
    Abstract: Disclosed are an atomic layer deposition apparatus using a neutral beam and a method of depositing an atomic layer using the apparatus, capable of converting an ion beam into a neutral beam and radiating it onto a substrate to be treated. The method uses an apparatus for supplying a first reaction gas containing a material that cannot be chemisorbed onto a substrate to be treated into a reaction chamber in which the substrate is loaded, and forming a first reactant adsorption layer containing a material that cannot be chemisorbed onto the substrate; and radiating a neutral beam generated by the second reaction gas onto the substrate on which the first reactant adsorption layer is formed, and removing a material not chemisorbed onto the substrate from the first reactant adsorption layer to form a second reactant adsorption layer.
    Type: Application
    Filed: March 17, 2011
    Publication date: July 7, 2011
    Inventors: Geun-Young Yeom, Do-Haing Lee, Byoung-Jae Park, Kyeong-Joon Ahn
  • Publication number: 20110155058
    Abstract: Methods and apparatus for processing substrates are disclosed herein. In some embodiments, an apparatus for processing a substrate may include a substrate support having a base having a convex surface, an annular ring disposed on the base, and an edge ring disposed on the annular ring to support a substrate, wherein the base, annular ring, and edge ring form a radiant cavity capable of reflecting energy radiated from a backside of a substrate when disposed on the edge ring and wherein the backside of the substrate faces the convex surface of the base. Alternatively or in combination, in some embodiments, the base may include a metal layer encapsulated between a transparent non-metal upper layer and a non-metal lower layer.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 30, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: David K. Carlson, Errol Sanchez, Herman Diniz, Satheesh Kuppurao
  • Publication number: 20110151111
    Abstract: Embodiments of the invention involve a technique and process for coating fine diameter, single strand wire of long continuous lengths with Parylene. The special fixture design and process allows for ultra thin (as thin as 0.2 micron), pore free, coatings. The advantages of this technology allow for wire products that offer minimal intrusion, superior routing and winding characteristics, and high heat and chemical resistance. The coating process can also be used for other types of material.
    Type: Application
    Filed: December 23, 2010
    Publication date: June 23, 2011
    Inventors: Thomas Lawrence, Nick Stahl, Jay Ahling
  • Publication number: 20110147935
    Abstract: A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 23, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Garo J. Derderian, Cem Basceri, Donald L. Westmoreland
  • Publication number: 20110143463
    Abstract: According to one embodiment, a vapor deposition method is disclosed for forming a nitride semiconductor layer on a substrate by supplying a group III source-material gas and a group V source-material gas. The method can deposit a first semiconductor layer including a nitride semiconductor having a compositional proportion of Al in group III elements of not less than 10 atomic percent by supplying the group III source-material gas from a first outlet and by supplying the group V source-material gas from a second outlet. The method can deposit a second semiconductor layer including a nitride semiconductor having a compositional proportion of Al in group III elements of less than 10 atomic percent by mixing the group III and group V source-material gases and supplying the mixed group III and group V source-material gases from at least one of the first outlet and the second outlet.
    Type: Application
    Filed: September 3, 2010
    Publication date: June 16, 2011
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yoshiyuki HARADA, Koichi Tachibana, Toshiki Hikosaka, Hajime Nago, Shinya Nunoue
  • Patent number: 7959734
    Abstract: A substrate mounting structure that can maintain the temperature uniformity of a substrate mounted on a mounting stage. The substrate mounting structure disposed in a pressure reduced space has a base portion, a pillar portion mounted in a standing manner on the base portion and having an internal space, and a mounting stage supported on the pillar portion and having a substrate mounted thereon. The mounting stage has a heating element that heats the mounted substrate, and a thermal breaking unit that mechanically breaks an electrical power supply line connected to the heating element depending on the temperature of the substrate. The pillar portion is comprised of a thin-walled cylinder. The thermal breaking unit is disposed on the pillar portion's internal space side in the mounting stage, and the pressure in the internal space is reduced.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: June 14, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi