With Means To Heat The Workpiece Support Patents (Class 156/345.52)
  • Patent number: 11908661
    Abstract: The present disclosure relates to apparatus and methods that manipulate the amplitude and phase of the voltage or current of an edge ring. The apparatus includes an electrostatic chuck having a chucking electrode embedded therein for chucking a substrate to the electrostatic chuck. The apparatus further includes a baseplate underneath the substrate to feed power to the substrate. The apparatus further includes an edge ring disposed over the electrostatic chuck. The apparatus further includes an edge ring electrode located underneath the edge ring. The apparatus further includes a circuit including a first variable capacitor coupled to the edge ring electrode.
    Type: Grant
    Filed: June 17, 2022
    Date of Patent: February 20, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Linying Cui, James Rogers
  • Patent number: 11887877
    Abstract: An electrostatic chuck device includes: an electrostatic chuck part having a sample placing surface on which a sample is placed and having a first electrode for electrostatic attraction; a cooling base part placed on a side opposite to the sample placing surface with respect to the electrostatic chuck part to cool the electrostatic chuck part; and an adhesive layer that bonds the electrostatic chuck part and the cooling base part together, in which the electrostatic chuck part has a recess and protrusion on the adhesive layer side, and a sheet resistance value of the first electrode is higher than 1.0?/? and lower than 1.0×1010?/?.
    Type: Grant
    Filed: September 26, 2018
    Date of Patent: January 30, 2024
    Assignee: SUMITOMO OSAKA CEMENT CO., LTD.
    Inventors: Mamoru Kosakai, Masaki Ozaki, Keisuke Maeda
  • Patent number: 11887808
    Abstract: A thermal electrostatic clamp has a central electrostatic portion associated with a central region of a workpiece. A central body has a clamping surface and one or more electrodes are associated with the central body. One or more electrodes selectively electrostatically clamp at least the central region of the workpiece to the clamping surface based on an electrical current passed therethrough. One or more first heaters of the central body selectively heat the central electrostatic portion to a first temperature. A non-electrostatic peripheral portion associated with a peripheral region of the workpiece has a peripheral body encircling the central body, separated by a gap. The peripheral body is positioned beneath the peripheral region of the workpiece. The peripheral portion does not electrostatically clamp the peripheral region of the workpiece. One or more second heaters of the peripheral body selectively heat the non-electrostatic peripheral portion to a second temperature.
    Type: Grant
    Filed: November 10, 2021
    Date of Patent: January 30, 2024
    Assignee: Axcelis Technologies, Inc.
    Inventors: Atul Gupta, Scott E Galica
  • Patent number: 11837438
    Abstract: A substrate treating apparatus includes a chamber having a treatment space, a first power supply that is connected to a first component provided in the treatment space and transmits power having a first frequency to the first component, a second power supply that is provided in the treatment space, is connected to a second component different from the first component, and transmits power having a second frequency smaller than the first frequency to the second component, and a coupling blocking structure installed on a power line connected to the second power supply and the second component, wherein the coupling blocking structure is electrically connected to the power line and includes a conductive line having a coil shape.
    Type: Grant
    Filed: November 4, 2021
    Date of Patent: December 5, 2023
    Assignee: SEMES CO., LTD.
    Inventors: Jung Hwan Lee, Seung Pyo Lee
  • Patent number: 11764041
    Abstract: Embodiments described herein relate to a substrate support assembly which enables adjustment of the thermal conductivity therein. The substrate support assembly has heater and cooling channel. An adjustable thermal break disposed between the heater and the cooling channel. The adjustable thermal break has one or more fluid conduits coupled thereto and configured to flow a fluid into and out of the adjustable thermal break for variant the thermal conductivity between the heater and the cooling channel.
    Type: Grant
    Filed: June 15, 2020
    Date of Patent: September 19, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Alvaro Garcia De Gorordo, Daniel Sang Byun, Andreas Schmid, Stephen Donald Prouty, Andrew Antoine Noujaim
  • Patent number: 11598006
    Abstract: The present disclosure is a wafer support, which includes a heating unit, an insulating-and-heat-conducting unit and a conduct portion, wherein the insulating-and-heat-conducting unit is positioned between the conduct portion and the heating unit. During a deposition process, an AC bias is formed on the conduct portion to attract a plasma disposed thereabove. The heating unit includes at least one heating coil, wherein the heating coil heats the wafer supported by the wafer support via the insulating-and-heat-conducting unit and the conduct portion. The insulating-and-heat-conducting unit electrically insulates the heating unit and the conduct portion to prevent the AC flowing in the heating coil and the AC bias on the conduct portion from conducting each other, so the wafer support can generate a stable AC bias and temperature to facilitate forming an evenly-distributed thin film on the wafer supported by the wafer support.
    Type: Grant
    Filed: January 8, 2021
    Date of Patent: March 7, 2023
    Assignee: SKY TECH INC.
    Inventors: Jing-Cheng Lin, Chun-Fu Wang
  • Patent number: 11515192
    Abstract: A sample holder includes: a base body including a ceramic material; a support body including a metal material; a first joining layer which joins a lower face of the base body and an upper face of the support body together; a first through hole extending from a lower face of the support body through the first joining layer to the upper face of the base body, a part of the first through hole located within the base body being at least partly narrower than a part of the first through hole located within the support body and a part of the first through hole located within the first joining layer; and a porous member located inside the first through hole and joined to the lower face of the base body via a second joining layer.
    Type: Grant
    Filed: October 23, 2018
    Date of Patent: November 29, 2022
    Assignee: KYOCERA Corporation
    Inventor: Naoki Furukawa
  • Patent number: 11508554
    Abstract: Embodiments described herein are applicable for use in all types of plasma assisted or plasma enhanced processing chambers and also for methods of plasma assisted or plasma enhanced processing of a substrate. More specifically, embodiments of this disclosure include a broadband filter assembly, also referred to herein as a filter assembly, that is configured to reduce and/or prevent RF leakage currents from being transferred from one or more RF driven components to a ground through other electrical components that are directly or indirectly electrically coupled to the RF driven components and ground with high input impedance (low current loss) making it compatible with shaped DC pulse bias applications.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: November 22, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Anurag Kumar Mishra, James Rogers, Leonid Dorf, Rajinder Dhindsa, Olivier Luere
  • Patent number: 11485123
    Abstract: A lamination apparatus includes: a window jig to support a window, and a heating member in the window jig to partially heat the window jig. The window jig includes a flat portion including a first sidewall area, a second sidewall area facing the first sidewall area in a first direction, a third sidewall area perpendicular to the first sidewall area, and a fourth sidewall area perpendicular to the first sidewall area and facing the third sidewall area in a second direction perpendicular to the first direction, a first protrusion portion protruding in a third direction perpendicular to the first and second directions on the first sidewall area, and a second protrusion portion protruding in the third direction on the second sidewall area.
    Type: Grant
    Filed: June 30, 2021
    Date of Patent: November 1, 2022
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jaeyoung Sim, Sohyun Kim, Niel Han
  • Patent number: 11476096
    Abstract: A wafer support table in which an RF electrode and a heater electrode are buried inside a circular-plate-shaped ceramic substrate having a wafer placing surface in this order from a wafer placing surface side, wherein the RF electrode is constituted by a plurality of RF zone electrodes provided in respective zones on an identical plane, and the plurality of RF zone electrodes and the heater electrode are independently connected to a plurality of conductors for RF zone electrode and a conductor for heater electrode that are provided on an outer side of a surface of the ceramic substrate opposite to the wafer placing surface.
    Type: Grant
    Filed: July 30, 2019
    Date of Patent: October 18, 2022
    Assignee: NGK Insulators, Ltd.
    Inventor: Tomohiro Takahashi
  • Patent number: 11211280
    Abstract: Described herein is a technique capable of preventing a susceptor made of quartz from being damaged by contacting a reflector deformed by thermal expansion. A substrate support according to the technique may include an upper susceptor made of quartz; a lower susceptor made of quartz; and a reflector reflecting heat and made of a metal in a planar shape. A lower surface of the upper susceptor is bonded with an upper surface of the lower susceptor such that the reflector is interposed therebetween, a first recess accommodating the reflector is provided at the upper surface of the lower susceptor, and a portion of the lower surface of the upper susceptor facing the first recess is roughened.
    Type: Grant
    Filed: August 30, 2018
    Date of Patent: December 28, 2021
    Assignee: Kokusai Electric Corporation
    Inventors: Takayuki Sato, Naoya Matsuura
  • Patent number: 10964579
    Abstract: According to the embodiment, an electrostatic chuck includes a ceramic dielectric substrate, a base plate, and a porous part. The ceramic dielectric substrate has a first major surface placing a suction object, a second major surface on an opposite side to the first major surface, and a through hole provided from the second to first major surface. The base plate supports the ceramic dielectric substrate and includes a gas introduction path communicating with the through hole. The porous part is provided in the gas introduction path. The porous part includes sparse portions including pores and a dense portion having a higher density than the sparse portions. Each of the sparse portions extends in a first direction from the base plate toward the ceramic dielectric substrate. The dense portion is positioned between the sparse portions. The sparse portions include the pores and a wall portion provided between the pores.
    Type: Grant
    Filed: March 5, 2020
    Date of Patent: March 30, 2021
    Assignee: Toto Ltd.
    Inventors: Tetsuro Itoyama, Jumpei Uefuji
  • Patent number: 10497600
    Abstract: According to the embodiment, the first invention relates to an electrostatic chuck. The electrostatic chuck includes a ceramic dielectric substrate having a first major surface placing a suction object and a second major surface on an opposite side to the first major surface, a base plate supporting the ceramic dielectric substrate and including a gas introduction path, and a first porous part provided at a position between the base plate and the first major surface and being opposite to the gas introduction path. The first porous part includes sparse portions including pores and a dense portion having a density higher than a density of the sparse portions. Each of the sparse portions extends from the base plate toward the ceramic dielectric substrate. The dense portion is positioned between the sparse portions. The sparse portions include a wall portion provided between the pores and the pores.
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: December 3, 2019
    Assignee: Toto Ltd.
    Inventors: Kosuke Yamaguchi, Jun Shiraishi, Ikuo Itakura, Yutaka Momiyama, Shuichiro Saigan
  • Patent number: 10217626
    Abstract: Processes and apparatuses for the treatment of semiconductor workpieces are provided. In some embodiments, a method can include placing the workpiece in a processing chamber. The processing chamber can be separated from a plasma chamber by a separation grid assembly. The method can include forming a passivation layer on the workpiece in the processing chamber using radicals generated in a first plasma in the plasma chamber. The method can include performing a surface treatment process on the workpiece in the processing chamber using a second plasma generated in the plasma chamber.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: February 26, 2019
    Assignee: Mattson Technology, Inc.
    Inventors: Tongchuan Gao, Grigoriy Kishko, Vijay M. Vaniapura, Michael X. Yang
  • Patent number: 10049948
    Abstract: A semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a heater array comprising thermal control elements operable to tune a spatial temperature profile on the semiconductor substrate, the thermal control elements defining heater zones each of which is powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to at least two of the heater zones and each power return line is connected to at least two of the heater zones. A power distribution circuit is mated to a baseplate of the substrate support, the power distribution circuit being connected to each power supply line and power return line of the heater array. A switching device is connected to the power distribution circuit to independently provide time-averaged power to each of the heater zones by time divisional multiplexing of a plurality of switches.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: August 14, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Keith William Gaff, Tom Anderson, Keith Comendant, Ralph Jan-Pin Lu, Paul Robertson, Eric A. Pape, Neil Benjamin
  • Patent number: 9248425
    Abstract: Known apparatuses for irradiating a substrate include a receptacle for the substrate to be irradiated having a circular irradiation surface and a first optical emitter having at least one emitter tube arranged in an illumination plane extending parallel to the irradiation surface. The illumination length of the emitter tube includes a middle section and two end sections, the length of the middle section accounting for at least 50% of the illumination length. The receptacle and the optical emitter are movable relative to each other. An apparatus for thermal treatment of a substrate, enabling ho-mogeneous and/or rotationally symmetrical heating of the substrate and requiring less complexity in its design and control technology, includes a middle section of the emitter tube having a steadily decreasing curvature, provided that the illumination length of the emitter tube extends over an angle of curvature of less than 2?.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: February 2, 2016
    Assignee: Heraeus Noblelight GmbH
    Inventors: Sven Linow, Larisa von Riewel
  • Patent number: 9248509
    Abstract: An electrostatic chuck assembly including a dielectric layer with a top surface to support a workpiece. A cooling channel base disposed below the dielectric layer includes a plurality of inner fluid conduits disposed beneath an inner portion of the top surface, and a plurality of outer fluid conduits disposed beneath an outer portion of the top surface. A chuck assembly includes a thermal break disposed within the cooling channel base between the inner and outer fluid conduits. A chuck assembly includes a fluid distribution plate disposed below the cooling channel base and the base plate to distribute a heat transfer fluid delivered from a common input to each inner or outer fluid conduit. The branches of the inner input manifold may have substantially equal fluid conductance.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: February 2, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hamid Tavassoli, Surajit Kumar, Kallol Bera, Xiaoping Zhou, Shane C. Nevil, Douglas A. Buchberger, Jr.
  • Publication number: 20150129134
    Abstract: A placement table includes: a base; an electrostatic chuck disposed on the base and including a placement surface on which a workpiece is placed; a plurality of heat generating members disposed at a side opposite to the placement surface of the electrostatic chuck; a power supply configured to generate a current for causing each of the plurality of heat generating members to generate heat; a plurality of electric wires installed to extend in a direction crossing the placement surface from the plurality of heat generating members, respectively, and configured to connect the power supply with the heat generating members, respectively; and a filter mounted on each of the plurality of electric wires to remove a high frequency component having a frequency higher than that of the current generated by the power supply.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki MATSUMOTO, Daisuke HAYASHI
  • Publication number: 20150132969
    Abstract: A substrate processing apparatus includes an electrostatic chuck that includes a chuck electrode and electrostatically attracts a substrate; a direct voltage source that is connected to the chuck electrode and applies a voltage to the chuck electrode; and an evacuation unit that includes a rotor and discharges, via a heat transfer gas discharge pipe, a heat transfer gas supplied to a back surface of the substrate electrostatically-attracted by the electrostatic chuck. The evacuation unit is connected via a power supply line to the direct voltage source, generates regenerative power, and supplies the regenerative power to the direct voltage source.
    Type: Application
    Filed: November 6, 2014
    Publication date: May 14, 2015
    Inventor: Shingo KOIWA
  • Patent number: 9028614
    Abstract: When processing such as SiC epitaxial growth is performed at an ultrahigh temperature of 1500° C. to 1700° C., a film-forming gas can be decreased to heat-resistant temperature of a manifold and film quality uniformity can be improved. A substrate processing apparatus includes a reaction chamber for processing a plurality of substrates, a boat for holding the plurality of substrates, a gas supply nozzle for supplying a film-forming gas to the plurality of substrates, an exhaust port for exhausting the film-forming gas supplied into the reaction chamber, a heat exchange part which defines a second flow path narrower than a first flow path defined by an inner wall of the reaction chamber and the boat, and a gas discharge part installed under the lowermost substrate of the plurality of substrates.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Daisuke Hara, Takeshi Itoh, Masanao Fukuda, Takatomo Yamaguchi, Hiroaki Hiramatsu, Shuhei Saido, Takafumi Sasaki
  • Publication number: 20150114567
    Abstract: A focus ring to be detachably attached to a top surface of an outer peripheral portion of a mounting table in a processing chamber, includes: an annular main body having a back surface to be attached to the top surface of the outer peripheral portion of the mounting table. And a thermally conductive sheet fixed to the annular main body, the thermally conductive sheet being interposed between the annular main body and the top surface of the outer peripheral portion of the mounting. The thermally conductive sheet is fixed as one unit to the annular main body by coating an unvulcanized rubber on one surface of the thermally conductive sheet, bringing said one surface into contact with the annular main body, and heating the thermally conductive sheet and the annular main body to vulcanize and to adhere the thermally conductive sheet to the annular main body.
    Type: Application
    Filed: October 27, 2014
    Publication date: April 30, 2015
    Applicants: Greene, Tweed Technologies, Inc., TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki NAGAYAMA, Naoyuki SATOH, Masahiko OKA, Yasuyuki MATSUOKA
  • Patent number: 9017484
    Abstract: A susceptor includes a ceramic substrate having a wafer-placing surface; a first circular RF electrode buried in the ceramic substrate; and a second circular RF electrode buried in the ceramic substrate at a depth different from the depth of the first RF electrode. The second RF electrode has a larger diameter than the first RF electrode. The second RF electrode has a plurality of holes with an opening area of 9.42 to 25.13 mm2 distributed in a portion overlapping the first RF electrode in a plan view of the ceramic substrate. The electrode width between the holes is 3 to 7 mm.
    Type: Grant
    Filed: April 28, 2014
    Date of Patent: April 28, 2015
    Assignee: NGK Insulators, Ltd.
    Inventor: Noboru Kajihara
  • Publication number: 20150101755
    Abstract: An insulating film having features such as a low dielectric constant, a low etching rate and a high insulating property is formed. An oxycarbonitride film is formed on a substrate by performing a cycle a predetermined number of times, the cycle including: (a) supplying a gas containing an element to the substrate; (b) supplying a carbon-containing gas to the substrate; (c) supplying a nitrogen-containing gas to the substrate; and (d) supplying an oxygen-containing gas to the substrate.
    Type: Application
    Filed: December 17, 2014
    Publication date: April 16, 2015
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Yoshiro HIROSE, Yushin TAKASAWA, Tsukasa KAMAKURA, Yoshinobu NAKAMURA, Ryota SASAJIMA
  • Patent number: 8999063
    Abstract: A susceptor includes a first step portion on which a wafer is placed; and a convex portion placed on a bottom surface of the first step portion, wherein a void is formed between a top surface of the convex portion and a rear surface of the wafer in a state in which the wafer is placed on the top surface of the convex portion.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: April 7, 2015
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideki Ito
  • Patent number: 8992686
    Abstract: Provided is a mounting table structure for use in forming a thin film on a surface of a target object mounted on the mounting table structure by using a raw material gas including an organic metal compound in a processing chamber. The mounting table structure includes: a mounting table main body which mounts thereon the target object and has therein a heater; and a base which supports the mounting table main body while surrounding a side surface and a bottom surface of the mounting table main body, the base having therein a coolant path where a coolant flows therethrough and being maintained at a temperature higher than the solidification temperature or the liquefaction temperature of the raw material gas, but lower than the decomposition temperature of the raw material gas.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Atsushi Gomi, Yasushi Mizusawa, Tatsuo Hatano, Masamichi Hara, Kaoru Yamamoto, Satoshi Taga, Chiaki Yasumuro
  • Patent number: 8986454
    Abstract: Embodiments of a window assembly are provided herein. In some embodiments, a window assembly for use in a substrate processing system comprising a first window at least partially transparent to light energy; a second window transparent to light energy and substantially parallel to the first window; and a separator disposed proximate the peripheral edges of the first and second windows and defining a sealed gap between the first and second windows, wherein the separator has an inlet and outlet to flow a gas through the sealed gap. In some embodiments, one or more support elements are disposed in the sealed gap to maintain a substantially uniform gap distance between the first and second windows. In some embodiments, a plurality of light adjusting elements are disposed in the gap to adjust one or more properties of light energy that passes through the light adjusting element.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: March 24, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David K. Carlson
  • Patent number: 8980044
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes inner and outer zone backside gas pressure sources coupled to the electrostatic chuck for applying a thermally conductive gas under respective pressures to respective inner and outer zones of a workpiece-surface interface formed whenever a workpiece is held on the surface, and inner and outer evaporators inside respective inner and outer zones of the electrostatic chuck and a refrigeration loop having respective inner and cuter expansion valves for controlling flow of coolant through the inner and outer evaporators respectively. The reactor further includes inner and outer zone temperature sensors in inner and outer zones of the electrostatic chuck and a thermal model capable of simulating heat transfer through the inner and outer zones, respectively, between the evaporator and the surface based upon measurements from the inner and outer temperature sensors, respectively.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: March 17, 2015
    Assignee: BE Aerospace, Inc.
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Publication number: 20150059981
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing substrates includes a chamber body enclosing a processing volume, the chamber body comprising a chamber floor, a chamber wall coupled to the chamber floor, and a chamber lid removably coupled to the chamber wall, wherein at least one of the chamber floor, the chamber wall, and the chamber lid comprise passages for a flow of a thermal control media; a heater plate disposed adjacent to and spaced apart from the chamber floor; a sleeve disposed adjacent to and spaced apart from the chamber wall, the sleeve supported by the heater plate; and a first sealing element disposed at a first interface between the chamber wall and the chamber lid.
    Type: Application
    Filed: July 16, 2014
    Publication date: March 5, 2015
    Inventors: JOEL M. HUSTON, OLKAN CUVALCI, MICHAEL P. KARAZIM, JOSEPH YUDOVSKY
  • Patent number: 8956459
    Abstract: The object of the present invention is to provide an assembly, wafer holding assembly and attaching structure thereof, wherein sufficient air-tightness is assured during prolonged cycles of temperature rises and uninstallations and replacements of the assemblies are possible. The joined assembly, according to the present invention, comprises a plate-shaped ceramic body; a ring-shaped member; and a hollow metal cylinder with one end thereof joined to the bottom surface of the plate-shaped ceramic body via a metal joint and the other end thereof joined to the ring-shaped member; wherein, the hollow metal cylinder having a shape to relax the stress caused by the differential thermal expansion between the plate-shaped ceramic body and the ring-shaped member.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: February 17, 2015
    Assignee: Kyocera Corporation
    Inventors: Tsunehiko Nakamura, Tatsuya Maehara
  • Patent number: 8951353
    Abstract: A manufacturing method for semiconductor device includes: loading a wafer to a reaction chamber and placing the wafer on a support member; supplying process gas including source gas to a surface of the wafer, controlling a heater output and heating the wafer to a predetermined temperature while rotating the wafer at a first rotational speed, and thereby forming a film on a surface of the wafer; stopping supplying the source gas; decreasing a rotational speed of the wafer to a second rotational speed which enables an offset balance of the wafer to be maintained and stopping the heater output; and decreasing a temperature of the wafer while rotating the wafer at the second rotational speed.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: February 10, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Yoshikazu Moriyama, Yoshihisa Ohta
  • Publication number: 20150024517
    Abstract: A plasma etch tool includes a wafer chuck with a chuck base and at least one functional component layer attached to the chuck base. A perimeter of the functional component layer has a polymer material permanently attached to it that extends to within 2 millimeters of a top surface of the chuck. The top surface of the wafer chuck contacts a bottom surface of a semiconductor wafer during an etch process for forming an integrated circuit. The polymer material is protected from an etch ambient by a plasma etcher chuck band installed around the perimeter of the functional component layer, extending over a portion of the chuck base. An integrated circuit may be formed by installing the plasma etcher chuck band on the chuck of the plasma etch tool, and subsequently performing an etch process in the plasma etch tool on a semiconductor wafer containing the partially formed integrated circuit.
    Type: Application
    Filed: July 11, 2014
    Publication date: January 22, 2015
    Inventor: John Christopher SHRINER
  • Patent number: 8920665
    Abstract: In a plasma processing apparatus including a processing room disposed in a vacuum vessel, a sample stage located in the processing room, a dielectric film disposed on the top surface of the sample stage and serving as the sample mounting surface of the sample stage, and a plurality of electrodes embedded in the dielectric film for chucking the sample to the dielectric film when supplied with electric power, a part of the sample is chucked by supplying electric power to at least one of the electrodes while the sample is mounted on the sample stage; the sample is heated up to a predetermined temperature; a larger part of the sample is chucked by supplying electric power to the other of the electrodes; and the processing of the sample using the plasma is initiated.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: December 30, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kohei Sato, Kazunori Nakamoto, Yutaka Omoto
  • Patent number: 8920565
    Abstract: Affords MOCVD reactors with which, while deposited films are uniformized in thickness, film deposition efficiency can be improved. An MOCVD reactor (1) is furnished with a susceptor (5) and a duct (11). The susceptor (5) has a carrying surface for heating and carrying substrates (20). The duct (11) is for conducting reaction gas (G) to the substrates (20). The susceptor (5) is rotatable with the carrying surface fronting on the duct (11) interior. The duct (11) has channels (11b) and (11c), which merge on the duct end upstream of Point A4. The height of the duct (11) running along the reaction gas (G) flow direction monotonically diminishes heading toward the duct downstream end from Point P1 to Point P2, stays constant from Point P2 to Point P3, and monotonically diminishes heading downstream from Point P3. Point P1 lies upstream of Point A4, while Point P3 lies on the susceptor (5).
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: December 30, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Masaki Ueno, Eiryo Takasuka
  • Patent number: 8916804
    Abstract: Provided is a thermal processing method including a first process comprising changing a set temperature of the heating plate from a first temperature to a second temperature; initiating a thermal processing for a first substrate before the temperature of the heating plate reaches the second temperature; obtaining temperature data of the heating plate after the thermal processing is initiated; changing the set temperature of the heating plate from the second temperature when the set temperature reaches the second temperature; and thermal processing of the first substrate using the heating plate for which the set temperature has been changed. The method further includes a second process comprising reinstating the temperature of the heating plate to the second temperature after the thermal processing of the first substrate; and thermal processing of a next substrate using the heating plate while the temperature of the heating plate is maintained at the second temperature.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: December 23, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Kenichi Shigetomi
  • Patent number: 8907254
    Abstract: A heating control system which allows a plurality of heaters to heat an object without depending on a variation in characteristics among a plurality of heater power sources includes: a thermocouple which detects a temperature of an object to be heated; temperature control means that receives a target temperature and a current temperature, and carries out electric power control so that these temperatures match; electric current and voltage detecting means for detecting an electric power value and a current electric power; and target electric power calculating means that receives the electric power value, and calculates the target electric power by multiplying the electric power value by a given ratio. The temperature control means receives the target electric power and the current electric power, and carries out electric power control so that the current electric power matches the target electric power.
    Type: Grant
    Filed: March 29, 2011
    Date of Patent: December 9, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hidekazu Sakagami, Toshinori Okada
  • Patent number: 8906161
    Abstract: A tubular electrode (215) and a tubular magnet (216) are installed on an external section of a processing furnace (202) for an MMT device. A susceptor (217) for holding a wafer (200) is installed inside a processing chamber (201) of the processing furnace. A gate valve (244) for conveying the wafer into and out of the processing chamber; and a shower head (236) for spraying processing gas in a shower onto the wafer, are installed inside the processing furnace. A high frequency electrode (2) and a heater (3) are installed inside the susceptor (217) with a clearance between them and the walls forming the space. The clearances formed between the walls forming the space in the susceptor and the high frequency electrode and the heater prevent damage to the high frequency electrode and the heater even if a thermal expansion differential occurs between the high frequency electrode, the heater and the susceptor.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: December 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Katsuhisa Kasanami, Toshimitsu Miyata, Mitsunori Ishisaka
  • Publication number: 20140356985
    Abstract: A temperature controlled substrate support assembly used for processing a substrate in a vacuum chamber of a semiconductor processing apparatus. The substrate support assembly comprises a top plate for supporting the substrate. A base plate is disposed below the top plate wherein the base plate comprises a cavity in an upper surface of the base plate. A cover plate is disposed between the top plate and the base plate. At least one thermoelectric module is in the cavity in the upper surface of the base plate wherein the at least one thermoelectric module is in thermal contact with the top plate and the base plate, and the at least one thermoelectric module is maintained at atmospheric pressure.
    Type: Application
    Filed: June 3, 2013
    Publication date: December 4, 2014
    Applicant: Lam Research Corporation
    Inventors: Anthony Ricci, Henry Povolny
  • Patent number: 8895889
    Abstract: Methods and apparatus for regulating the temperature of a component in a plasma-enhanced process chamber are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber and an RF source to provide RF energy to form a plasma in the process chamber. A component is disposed in the process chamber so as to be heated by the plasma when formed. A heater is configured to heat the component and a heat exchanger is configured to remove heat from the component. A chiller is coupled to the heat exchanger via a first flow conduit having an on/off flow control valve disposed therein and a bypass loop to bypass the flow control valve, wherein the bypass loop has a flow ratio valve disposed therein.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: November 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Chunlei Zhang, Richard Fovell, Ezra Robert Gold, Ajit Balakrishna, James P. Cruse
  • Patent number: 8888917
    Abstract: A vapor deposition reactor and associated method are disclosed that increase the lifetime and productivity of a filament-based resistive-heated vapor deposition system. The reactor and method provide for heating the filament while permitting the filament to move as it expands under the effect of increasing temperature while limiting the expanding movement of the filament to an amount that prevents the expanding movement of the filament from creating undesired contact with any portions of the reactor.
    Type: Grant
    Filed: January 4, 2010
    Date of Patent: November 18, 2014
    Assignee: Cree, Inc.
    Inventors: David Todd Emerson, Robert Allen Garner, Michael John Bergmann, Keenan Carlyle Brown, Michael Allen Pennington, Thomas Goldthwaite Coleman
  • Publication number: 20140332161
    Abstract: An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus.
    Type: Application
    Filed: July 24, 2014
    Publication date: November 13, 2014
    Inventors: Anthony J. Ricci, Keith Comendant, James Tappan
  • Publication number: 20140326700
    Abstract: The invention concerns a method of forming a graphene layer involving: heating a support layer in a reaction chamber; and forming the graphene layer on a surface of the support layer by: a) during a first time period, introducing into the reaction chamber an organic compound gas to cause a formation of carbon atoms on the surface; b) during a second time period after the first time period, reducing a rate of introduction of the organic compound gas into the reaction chamber and introducing into the reaction chamber a further gas, wherein the further gas is a carbon etching gas; and repeating a) and b) one or more times.
    Type: Application
    Filed: May 6, 2013
    Publication date: November 6, 2014
    Applicant: CENTRE NATIONAL DE LA RECHERCHE SCIENTFIQUE
    Inventors: Vincent BOUCHIAT, Johann CORAUX, Zheng HAN
  • Patent number: 8876976
    Abstract: Disclosed is a chemical vapor deposition apparatus for equalizing a heating temperature, which maintains the heating temperature of a heater provided therein uniform not only on the lower surface of the heater but also on the upper surface thereof, so that a thin film having a uniform thickness is deposited on a wafer. In order to maintain the heating temperature of the heater of the chemical vapor deposition apparatus uniform, the chemical vapor deposition apparatus includes a thermal insulation reflecting plate for reflecting heat from the lower surface of the heater and a heat dissipation member disposed between the thermal insulation reflecting plate and the heater to be in direct contact with the area of the heater having a high temperature, or includes a heat dissipation member mounted underneath the area of the heater having a high temperature.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: November 4, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventor: Pyung-yong Um
  • Publication number: 20140311728
    Abstract: A temperature of only a part in a surface of a mounting table can be set to be higher than or lower than a set temperature of an entire surface of the mounting table. A main flow path 320 formed within the mounting table 200 to be arranged over the entire surface thereof; an auxiliary flow path 330 formed within the mounting table to be arranged in a part of the surface thereof; and a temperature control medium circulating unit that supplies and circulates a temperature control medium adjusted to have a set temperature into and through the main flow path, allows the temperature control medium to be branched, and supplies and circulates the branched temperature control medium into and through the auxiliary flow path after adjusting a temperature of the branched temperature control medium to be a temperature higher than or lower than the set temperature are provided.
    Type: Application
    Filed: July 19, 2012
    Publication date: October 23, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Ryo Nonaka
  • Patent number: 8864933
    Abstract: In a substrate treatment method for supplying a coating solution to a substrate with projections and depressions on a front surface thereof to form a coating film on the front surface of the substrate, the coating solution is supplied to the rotating substrate to form a coating film on the front surface of the substrate, and the substrate having the coating film formed thereon is heated to adjust an etching condition of the coating film. Next, the etching solution is supplied to the rotating substrate to etch the coating film, and thereafter the coating solution is supplied to the substrate to form a flat coating film on the front surface of the substrate. Thereafter, the substrate is heated to cure the coating film. This flattens the coating film with uniformity and high accuracy without undergoing a high-load process such as chemical mechanical polishing.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: October 21, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shouichi Terada, Tsuyoshi Mizuno, Takeshi Uehara
  • Patent number: 8864934
    Abstract: Provided is a parallel flat-panel type plasma processing apparatus which includes a recipe storing unit storing a processing recipe for performing a plasma processing, a compensation setting unit setting an accumulation time of the plasma processing or the number of processed substrates after starting using a new second electrode and the compensation value of the set temperature of the second electrode in an input screen, and a storage unit storing the compensated set value. The plasma processing apparatus is further equipped with a program for controlling a temperature adjusting mechanism based on a set temperature after compensation by adding a set temperature of an upper electrode written in the processing recipe to the compensation value stored within the storage unit. As a result, the non-uniformity in the plasma processing between the substrates caused by the change of processing atmosphere is suppressed.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: October 21, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Tatsuya Ogi, Wataru Ozawa, Kimihiro Fukasawa, Kazuhiro Kanaya
  • Patent number: 8858715
    Abstract: The invention relates to a deposition device for comprising a processing space with a substrate support disposed therein, as well as several lift pins (50), which can be moved into and out of the plane of the substrate support to assist in introducing a semiconductor substrate into the processing space and removing it therefrom. The device is characterized in that the contact surface (52) of the lift pin (50) that is to be brought into contact with the semiconductor substrate and/or the substrate support is provided with a material layer (54) which has a lower hardness than the semiconductor substrate and/or the substrate support. This eliminates the risk of damage being caused to the substrate and/or to the substrate support as a result of said substrate shifting undesirably upon being lifted from and lowered onto the substrate support (susceptor). Thus there is no risk of scratches being formed and of particles being released, which might adversely affect the semiconductor manufacturing process.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: October 14, 2014
    Assignee: XYCarb Ceramics B.V.
    Inventors: Marcus Gerardus Van Munster, Charles Petronella Marie Buijs, Age Leijenaar
  • Patent number: 8852348
    Abstract: A substrate heat exchange pedestal comprises: (i) a support structure having a contact surface comprising a coating of a diamond-like material, and (ii) a heat exchanger in the support structure, the heat exchanger capable of heating or cooling a substrate.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: October 7, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D Parkhe, Kurt J Ahmann, Matthew C Tsai, Steve Sansoni
  • Publication number: 20140295580
    Abstract: A method for manufacturing a semiconductor device includes accommodating in a processing chamber a semiconductor structural body having a semiconductor substrate and a laminated structure formed on the semiconductor substrate and having multiple metal films including a noble-metal film, and generating a bias voltage on the semiconductor substrate while generating an oxygen plasma in the processing chamber such that a plasma treatment removes at least part of the noble-metal film in the laminated structure of the semiconductor structural body.
    Type: Application
    Filed: April 1, 2014
    Publication date: October 2, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Kenichi HARA
  • Publication number: 20140290863
    Abstract: A ceramic member 30 according to the present invention includes a ceramic base 32, which contains a solid solution Mg(Al)O(N) in which Al and N components are dissolved in magnesium oxide as the main phase, and an electrode 34 disposed on a portion of the ceramic base 32 and containing at least one of nitrides, carbides, carbonitrides, and metals as an electrode component. The ceramic base 32 may have an XRD peak of a (111), (200), or (220) plane of Mg(Al)O(N) measured using a CiK? ray at 2?=36.9 to 39, 42.9 to 44.8, or 62.3 to 65.2 degrees, respectively, between a magnesium oxide cubic crystal peak and an aluminum nitride cubic crystal peak.
    Type: Application
    Filed: April 7, 2014
    Publication date: October 2, 2014
    Applicant: NGK Insulators, Ltd.
    Inventors: Morimichi WATANABE, Asumi JINDO, Yuji KATSUDA, Yosuke SATO, Yoshinori ISODA, Atsushi WATANABE
  • Publication number: 20140251540
    Abstract: Provided is a substrate processing apparatus including a chamber provided with a reaction space and formed with an exhaustion opening in a center of a bottom, a substrate supporter provided in the chamber and supporting a substrate, a gas injection assembly provided to be opposite to the substrate supporter, injecting a processing gas, and generating plasma thereof, and an exhauster connected to the exhaustion opening and provided below the chamber to exhaust an inside of the chamber, in which the substrate supporter includes a substrate support supporting the substrate and a plurality of supporting posts supporting an outside of the substrate support disposing the exhausting opening therebetween.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 11, 2014
    Applicant: CHARM ENGINEERING CO., LTD.
    Inventors: Young-Soo SEO, Young-Ki HAN, Jun-Hyeok LEE, Kyu-Sang LEE