With Means To Heat The Workpiece Support Patents (Class 156/345.52)
  • Patent number: 8394201
    Abstract: An atomic layer deposition apparatus and an atomic layer deposition method increase productivity. The atomic layer deposition apparatus includes a reaction chamber, a heater for supporting a plurality of semiconductor substrates with a given interval within the reaction chamber and to heat the plurality of semiconductor substrates and a plurality of injectors respectively positioned within the reaction chamber and corresponding to the plurality of semiconductor substrates supported by the heater. The plurality of injectors are individually swept above the plurality of semiconductor substrates to spray reaction gas.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: March 12, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Hyun Kim, Ki-Vin Im, Hoon-Sang Choi, Moon-Hyeong Han
  • Patent number: 8388853
    Abstract: Embodiments of the present invention provide apparatus and methods for supporting, positioning or rotating a semiconductor substrate during processing. One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate on a substrate receiving surface of a susceptor, and rotating the susceptor and the substrate by delivering flow of fluid from one or more rotating ports.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: March 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Blake Koelmel, Nyi O. Myo
  • Patent number: 8388755
    Abstract: The present invention relates to the field of semiconductor processing and provides apparatus and methods that improve chemical vapor deposition (CVD) of semiconductor materials by promoting more efficient thermalization of precursor gases prior to their reaction. In preferred embodiments, the invention comprises heat transfer structures and their arrangement within a CVD reactor so as to promote heat transfer to flowing process gases. In certain preferred embodiments applicable to CVD reactors transparent to radiation from heat lamps, the invention comprises radiation-absorbent surfaces placed to intercept radiation from the heat lamps and to transfer it to flowing process gases.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: March 5, 2013
    Assignee: Soitec
    Inventors: Chantal Arena, Christiaan J. Werkhoven, Ronald Thomas Bertram, Jr., Ed Lindow
  • Patent number: 8378272
    Abstract: Disclosed is a heat treatment apparatus for performing a heat treatment on an object to-be-processed by a heater, which can inhibit variation in thermal histories among the objects to-be-processed. The heat treatment apparatus includes, among others, a correction part to correct a power control signal output from an adjusting unit so that a conduction rate of an AC voltage applied to a heater is decreased. Specifically, the correction is performed based on a value obtained by multiplying a first correction value with a second correction value, where the first correction value is generated according to a ratio of the voltage detection value of AC power source to a predetermined reference voltage, and the second correction value is generated according to a ratio of the resistance value of the heater to a predetermined reference resistance value.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: February 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Shigetomi, Tsutomu Fukunaga, Yasuhiro Uchida
  • Patent number: 8375891
    Abstract: There is provided a vacuum evaporating apparatus which is suitable for performing a process in which a metal vapor atmosphere is formed in a processing chamber, the metal atoms in this metal vapor atmosphere are caused to be adhered to the surface of an object to be processed, and the metal atoms adhered to the surface of the object to be processed are diffused into grain boundary phases thereof. The apparatus comprises: a processing furnace (11); at least one processing box (4) disposed inside the processing furnace; and a heating means (2) provided inside the processing furnace so as to enclose the processing box. An evacuating means is provided which, after housing the processing box inside the processing furnace in a state in which the object to be processed (S) and the metal evaporating material (V) are disposed in the processing box, reduces the processing furnace and the processing box to a predetermined pressure and keep them at that pressure.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: February 19, 2013
    Assignee: Ulvac, Inc.
    Inventors: Hiroshi Nagata, Kyuzo Nakamura, Takeo Katou, Atsushi Nakatsuka, Ichirou Mukae, Masami Itou, Ryou Yoshiizumi, Yoshinori Shingaki
  • Patent number: 8372203
    Abstract: A film formation system 10 includes a processing chamber 15 bounded by sidewalls 18 and a top cover 11. In one embodiment, a susceptor 16 is rotatably disposed in the system 10, and overlaps with a first peripheral member 205 disposed around the sidewalls 18. A radiant heating system 313 is disposed under the susceptor 305 to heat the substrate 19. In another embodiment, the top cover 11 has equally spaced pyrometers 58 for measuring the temperature of the substrate 19 across a number of zones. The temperature of the substrate 19 is obtained from pyrometric data from the pyrometers 58.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: February 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Juan Chacin, Aaron Hunter, Craig Metzner, Roger N. Anderson
  • Patent number: 8371567
    Abstract: Examples of novel semiconductor processing pedestals, and apparatuses including such pedestals, are described. These pedestals are specifically configured to provide uniform heat transfer to semiconductor substrates and to reduce maintenance complexity and/or frequency. Specifically, a pedestal may include a removable cover positioned over a metal platen of the pedestal. The removable cover is configured to maintain a consistent and uniform temperature profile of its substrate-facing surface even though the platen's upper-surface, which supports the cover and is in thermal communication with the cover, may have a much less uniform temperature profile. The cover may be made from certain ceramic materials and shaped as a thin plate. These materials are resistant to the processing environments and maintain their thermal characteristics over many processing cycles. The cover can be easily removed from the platen and replaced with a new one without a need for major disassembly of the entire apparatus.
    Type: Grant
    Filed: April 13, 2011
    Date of Patent: February 12, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Ivelin Angelov, Brian Severson, Natan Solomon
  • Patent number: 8349128
    Abstract: A method and apparatus for etching a substrate using a spatially modified plasma is provided herein. In one embodiment, the method includes providing a process chamber having a plasma stabilizer disposed above a substrate support pedestal. A substrate is placed upon the pedestal. A process gas is introduced into the process chamber and a plasma is formed from the process gas. The substrate is etched with a plasma having an ion density to radical density ratio defined by the plasma stabilizer.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Valentin N. Todorow, John P. Holland, Michael D. Willwerth
  • Patent number: 8343280
    Abstract: A method and system for multi-zone control of temperature for a substrate is described. The temperature control system comprises a heat exchanger coupled to two or more fluid channels in a substrate holder configured to support the substrate. The heat exchanger is configured to adjust the temperature of a heat transfer fluid flowing through the two or more fluid channels. The temperature control system further comprises a heat transfer unit having an inlet that is configured to receive heat transfer fluid from the heat exchanger at a bulk fluid temperature. Additionally, the heat transfer unit comprises a first outlet configured to couple a portion of the heat transfer fluid at a first temperature less than the bulk temperature to a first fluid channel of the two or more fluid channels, and a second outlet configured to couple a remaining portion of the heat transfer fluid at a second temperature greater than the bulk fluid temperature to a second fluid channel of the two or more fluid channels.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Shunichi Iimuro
  • Publication number: 20120329290
    Abstract: Provided is a substrate placement stage or substrate processing apparatus which can suppress thermal deformation of the substrate placement stage when the substrate placement stage on which a substrate is placed is heated in a process chamber. The substrate placement stage includes: a heating element; a first member surrounding the heating element; and a second member covering a surface of the first member and including a placing surface for placing a substrate thereon, wherein the first member is made of a first material containing ceramics and aluminum, and the second member is made of a second material containing ceramics and aluminum, a content of the ceramics in the second material being lower than that of the first material.
    Type: Application
    Filed: May 24, 2012
    Publication date: December 27, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Toshiya Shimada, Kazuhiro Shimeno, Masakazu Sakata, Hidehiro Yanai, Tomihiro Amano, Yuichi Wada
  • Patent number: 8337660
    Abstract: A plasma reactor for processing a workpiece includes a reactor chamber, an electrostatic chuck within the chamber having a top surface for supporting a workpiece and having indentations in the top surface that form enclosed gas flow channels whenever covered by a workpiece resting on the top surface. The reactor further includes thermal control apparatus thermally coupled to the electrostatic chuck, an RF plasma bias power generator coupled to apply RF power to the electrostatic chuck, a pressurized gas supply of a thermally conductive gas, a controllable gas valve coupling the pressurized gas supply to the indentations to facilitate filling the channels with the thermally conductive gas for heat transfer between a backside of a workpiece and the electrostatic chuck at a heat transfer rate that is a function of the pressure against the backside of the workpiece of the thermally conductive gas.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: December 25, 2012
    Assignee: B/E Aerospace, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8328942
    Abstract: In one of the many embodiments, an apparatus for processing a substrate is provided which includes a substrate processing chamber where the substrate is positioned within the substrate processing chamber so the substrate at least partially separates the substrate processing chamber into a first chamber and a second chamber. The apparatus further includes a first chamber inlet configured to input a first fluid of a first temperature into the first chamber at a first pressure and a second chamber inlet configured to input a second fluid of a second temperature into the second chamber at a second pressure wherein the first pressure and the second pressure are substantially equal. The second temperature is capable of being utilized to manage substrate temperature.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: December 11, 2012
    Assignee: Lam Research Corporation
    Inventors: Ben Mooring, John Parks, Diane J. Hymes
  • Patent number: 8323413
    Abstract: A susceptor and a semiconductor manufacturing apparatus including the same are provided. A wafer is loaded on a susceptor and the susceptor includes at least one pocket whose bottom surface is inclined. The semiconductor manufacturing apparatus includes a reaction chamber, a heating unit that generates heat in the reaction chamber, a susceptor on which a wafer is loaded and that includes at least one pocket whose bottom surface is inclined, and a rotation shaft coupled with the susceptor.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: December 4, 2012
    Assignee: LG Innotek Co., Ltd
    Inventor: Hyo Kun Son
  • Patent number: 8303712
    Abstract: In a substrate processing apparatus, a process vessel is configured to accommodate and process a substrate held at a horizontal position. A gas introduction port is installed at a periphery of a first side of the process vessel and configured to introduce gas into the process vessel from a lateral direction of the substrate. A gas exhaust port is installed at a second side of the process vessel which is opposite to the first side, and is configured to exhaust gas inside the process vessel from a lateral direction of the substrate. A slope part is installed between the gas introduction port and the gas exhaust port inside the process vessel, and is configured to guide a flow path of the gas introduced into the process vessel.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 6, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Seiyo Nakashima, Tomoyuki Yamada, Masakazu Shimada
  • Patent number: 8297224
    Abstract: An ALD apparatus includes: a process chamber that accommodates a boat charged with a plurality of wafers; gas supply systems that supplies process gases to the wafers; a pair of electrodes arranged in a stacked direction of the wafers; a high-frequency power source that supplies a high-frequency power to the pair of the electrodes; a variable impedance element connected to a front end opposite to the high-frequency power of the pair of the electrodes; and a control unit that changes an output frequency of the high-frequency power source. By moving the local minimum point of the voltage distribution through the change of the output frequency of the high-frequency power source during the plasma discharge, the plasma generation amount within a pair of discharge electrodes is uniformized. Thus, the processing non-uniformity between the wafers stacked in the boat is suppressed, and the processing is uniformized over the total boat length.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: October 30, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Nobuo Ishimaru
  • Patent number: 8293014
    Abstract: There are provided a substrate processing apparatus and a reaction tube for processing a substrate. The substrate processing apparatus comprises a process chamber configured to accommodate a substrate and process the substrate, a heater configured to heat the substrate, a gas supply part configured to supply a gas to an inside of the process chamber, a quartz reaction tube installed in the alloy reaction tube and a purge gas supply part configured to supply a purge gas to a gap formed between the alloy reaction tube and the quartz reaction tube. The process chamber comprises an alloy reaction tube made of a material comprising at least molybdenum (Mo) and cobalt (Co) and excluding aluminum (Al).
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: October 23, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Harushige Kurokawa
  • Patent number: 8293070
    Abstract: In oxygen ion implantation equipment, a chamber has a bottom wall on one end face thereof and is open in the other end face thereof. A wafer holder rotatably holding a plurality of wafers on the same circumference of a circle is housed in the chamber. Inside a cap closing an opening of the chamber while making the chamber airtight with a sealing member, a coolant passage is formed near the sealing member. A plurality of lamp heaters are disposed so as to extend in the direction of the tangent to the circumference of the cap and align parallel to the direction of the radius of the cap, in such a way as to face one wafer held by the wafer holder.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: October 23, 2012
    Assignee: Sumco Corporation
    Inventor: Yoshiro Aoki
  • Publication number: 20120264051
    Abstract: Examples of novel semiconductor processing pedestals, and apparatuses including such pedestals, are described. These pedestals are specifically configured to provide uniform heat transfer to semiconductor substrates and to reduce maintenance complexity and/or frequency. Specifically, a pedestal may include a removable cover positioned over a metal platen of the pedestal. The removable cover is configured to maintain a consistent and uniform temperature profile of its substrate-facing surface even though the platen's upper-surface, which supports the cover and is in thermal communication with the cover, may have a much less uniform temperature profile. The cover may be made from certain ceramic materials and shaped as a thin plate. These materials are resistant to the processing environments and maintain their thermal characteristics over many processing cycles. The cover can be easily removed from the platen and replaced with a new one without a need for major disassembly of the entire apparatus.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 18, 2012
    Applicant: NOVELLUS SYSTEMS, INC.
    Inventors: Ivelin Angelov, Brian Severson, Natan Solomon
  • Patent number: 8287688
    Abstract: A high throughput chemical treatment system for processing a plurality of substrates is described. The chemical treatment system is configured to chemically treat a plurality of substrates in a dry, non-plasma environment. A substrate support in the chemical treatment system is configured to support a plurality of substrates.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: October 16, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jay R. Wallace, Hiroyuki Takahashi
  • Patent number: 8282767
    Abstract: A plasma processing apparatus including a chamber having an inner wall with a protective film thereon and a sample stage disposed in the chamber in which plasma is generated by supplying high-frequency wave energy to processing gas to conduct plasma processing for a sample on the sample stage using the plasma. The apparatus includes a control device which determines, based on monitor values of a wafer attracting current monitor (Ip) to monitor a current supplied from a wafer attracting power source, an impedance monitor (Zp) to monitor plasma impedance viewed from a plasma generating power source, and an impedance monitor (Zb) to monitor a plasma impedance viewed from a bias power supply, presence or absence of occurrence of an associated one of abnormal discharge in inner parts, deterioration in insulation of an insulating film of a wafer attracting electrode, and abnormal injection in a gas injection plate.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: October 9, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoshi Itabashi, Tsutomu Tetsuka, Seiichiro Kanno, Motohiko Yoshigai
  • Publication number: 20120222813
    Abstract: Embodiments of the present disclosure generally relate to vacuum processing chambers having different pumping requirements and connected to a shared pumping system through a single foreline. In one embodiment, the vacuum processing chambers include a high conductance pumping conduit and a low conductance pumping conduit coupled to a single high conductance foreline. In another embodiment, a plurality of unbalanced chamber groups may be connected to a common pumping system by a final foreline.
    Type: Application
    Filed: February 29, 2012
    Publication date: September 6, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Aniruddha Pal, Martin Jeffrey Salinas, Jared Ahmad Lee, Paul B. Reuter, Imad Yousif
  • Patent number: 8257547
    Abstract: A surface activation device comprises a holding compartment, a nozzle support, and a sealing assembly. The holding compartment defines a receiving chamber and defining a plurality of recesses for holding workpieces therein. The nozzle support is rotatably received in the receiving chamber and comprises an outer barrel, an inner barrel is received in the outer barrel, and at least one ultraviolet (UV) lamp is embedded in the outer barrel. The outer barrel and the inner barrel cooperatively define a first chamber therebetween, and the inner barrel defines a second chamber therein. The sealing assembly seals the first chamber and the second chamber, and comprises at least one first inlet tube communicated with the first chamber and at least one second inlet tube communicated with the second chamber.
    Type: Grant
    Filed: May 31, 2010
    Date of Patent: September 4, 2012
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8257601
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi
  • Patent number: 8231798
    Abstract: A tray for a dry etching apparatus includes substrate accommodation holes penetrating a thickness direction and a substrate support portion supporting an outer peripheral edge portion of a lower surface of a substrate. A dielectric plate includes a tray support surface supporting a lower surface of the tray, substrate placement portions inserted from a lower surface side of the tray into the substrate accommodation holes and having a substrate placement surface at its upper end surface. A dc voltage applying mechanism applies a dc voltage to an electrostatic attraction electrode. A heat conduction gas supply mechanism supplies a heat conduction gas between the substrate and substrate placement surface. The substrate is retained on the substrate placement surface with high degree of adhesion. Cooling efficiency of the substrate is improved and processing is uniform at the entire region of the substrate surface.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: July 31, 2012
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki
  • Patent number: 8226769
    Abstract: An electrostatic chuck for receiving a substrate in a substrate processing chamber comprises a ceramic puck having a substrate receiving surface and an opposing backside surface with a plurality of spaced apart mesas. An electrode is embedded in the ceramic puck to generate an electrostatic force to hold a substrate. Heater coils located at peripheral and central portions of the ceramic puck allow independent control of temperatures of the central and peripheral portions of the ceramic puck. The chuck is supported by a base having a groove with retained air. The chuck and base can also have an overlying edge ring and clamp ring.
    Type: Grant
    Filed: April 26, 2007
    Date of Patent: July 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Matyushkin, Dennis Koosau, Theodoros Panagopoulos, John Holland
  • Patent number: 8222119
    Abstract: A method for temperature control during a process of cleaving a plurality of free-standing thick films from a bulk material includes clamping a bulk material using a mechanical clamp device adapted to engage the bottom region of the bulk material through a seal with a planar surface of a stage to form a cavity with a height between the bottom region and the planar surface. The planar surface includes a plurality of gas passageways allowing a gas filled in the cavity with adjustable pressure. The method also includes maintaining the temperature of the surface region by processing at least input data and executing a control scheme utilizing at least one or more of: particle bombardment to heat the surface region; radiation to heat the surface region; and gas-assisted conduction between the bottom region and the stage.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: July 17, 2012
    Assignee: Silicon Genesis Corporation
    Inventor: Francois J. Henley
  • Patent number: 8221580
    Abstract: A plasma reactor with a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface, and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck, a thermal model capable of simulating heat transfer between the evaporator and the surface based upon measurements from the temperature sensor and an agile control processor coupled to the thermal model and governing the backside gas pressure source in response to predictions from the model of changes in the selected pressure that would bring the temperature measured by the sensor closer to a desired temperature.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: July 17, 2012
    Assignees: Applied Materials, Inc., BE Aerospace, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8216486
    Abstract: A temperature control module for a semiconductor processing chamber comprises a thermally conductive component body, one or more channels in the component body and one or more tubes concentric therewith, such that gas filled spaces surround the tubes. By flowing a heat transfer liquid in the tubes and adjusting the gas pressure in the spaces, localized temperature of the component body can be precisely controlled. One or more heating elements can be arranged in each zone and a heat transfer liquid can be passed through the tubes to effect heating or cooling of each zone by activating the heating elements and/or varying pressure of the gas in the spaces.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Henry Povolny, Jerry K. Antolik
  • Publication number: 20120171870
    Abstract: Apparatus for treating wafers using a wafer carrier rotated about an axis is provided with a ring which surrounds the wafer carrier during operation. Treatment gasses directed onto a top surface of the carrier flow outwardly away from the axis over the carrier and over the ring, and pass downstream outside of the ring. The outwardly flowing gasses form a boundary over the carrier and ring. The ring helps to maintain a boundary layer of substantially uniform thickness over the carrier, which promotes uniform treatment of the wafers.
    Type: Application
    Filed: December 21, 2011
    Publication date: July 5, 2012
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Bojan Mitrovic, Guanghua Wei, Eric A. Armour, Ajit Paranjpe
  • Publication number: 20120160808
    Abstract: A substrate processing apparatus includes: a holding stage which includes a susceptor having a substrate holding surface on which a wafer is held and a focus ring holding surface on which a focus ring is held; an electrostatic chuck which electrostatically adsorbs a rear surface of the wafer to the substrate holding surface and electrostatically adsorbs a rear surface of the focus ring to the focus ring holding surface; and a heat transfer gas supplying mechanism, wherein the heat transfer gas supplying mechanism independently provides a first heat transfer gas supply unit supplying a first heat transfer gas to the rear surface of the substrate and a second heat transfer gas supply unit supplying a second heat transfer gas to the rear surface of the focus ring.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 28, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichiro KIKUCHI, Nobuyuki NAGAYAMA, Takahiro MIYAI
  • Publication number: 20120160419
    Abstract: A substrate-supporting unit includes: a mounting board on which a substrate is disposed; and a heater installed in the mounting board to heat the substrate disposed on the mounting board, wherein the mounting board includes: a non-contact surface which faces a center portion of the substrate and is spaced apart from the center portion of the substrate; and a contact member which extends outward from the non-contact surface and is arranged along an edge portion of the substrate disposed on the mounting board to support the edge portion of the substrate.
    Type: Application
    Filed: April 12, 2010
    Publication date: June 28, 2012
    Inventors: Dong-Keun Lee, Sergey Zaretskiy, Sung Tae Je, Wan Suk Oh
  • Patent number: 8191505
    Abstract: A processing gas introducing mechanism for introducing a processing gas into a processing space is provided between a plasma generation unit and a chamber of a plasma processing apparatus. The processing gas introducing mechanism includes a gas introducing base having therein a gas introducing path for introducing the processing gas into the processing space, and a near ring-shaped gas introducing plate equipped in the hole part of the gas introducing base such that it can be detached therefrom. Herein, the gas introducing base has a hole part forming one portion of the processing space in a central portion thereof, and the gas introducing plate has plural gas discharge holes communicating with the processing space to discharge thereinto the processing gas from the gas introducing path.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: June 5, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Kamaishi, Akinori Shimamura, Masato Morishima
  • Patent number: 8172950
    Abstract: Disclosed is a substrate processing apparatus, including: a chamber, made of a metal, to form a processing space for processing a substrate; at least one rod-like heating body to heat the substrate; and a tube body, made of a material different from that of the chamber, to accommodate the heating body therein, wherein an outer diameter of the tube body on a processing space side in a penetrating portion where the tube body penetrates a wall of the chamber is set to be smaller than an outer diameter of the tube body on an outer side of the chamber in the penetrating portion.
    Type: Grant
    Filed: November 28, 2006
    Date of Patent: May 8, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yoshihiko Yanagisawa, Mitsuro Tanabe
  • Patent number: 8157951
    Abstract: A plasma reactor for processing a workpiece includes a reactor chamber, an electrostatic chuck within the chamber having a top surface for supporting a workpiece and having indentations in the top surface that form enclosed gas flow channels whenever covered by a workpiece resting on the top surface. The reactor further includes thermal control apparatus thermally coupled to the electrostatic chuck, an RF plasma bias power generator coupled to apply RF power to the electrostatic chuck, a pressurized gas supply of a thermally conductive gas, a controllable gas valve coupling the pressurized gas supply to the indentations to facilitate filling the channels with the thermally conductive gas for heat transfer between a backside of a workpiece and the electrostatic chuck at a heat transfer rate that is a function of the pressure against the backside of the workpiece of the thermally conductive gas.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: April 17, 2012
    Assignees: Applied Materials, Inc., Advanced Thermal Sciences Corporation
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Patent number: 8157915
    Abstract: The invention relates to an apparatus for the deposition of one or more layers on a substrate (4), which comprises a process chamber (2) which is arranged in a reactor housing (1) and has a heatable bottom (3) on which the substrate rests and a lid (5) extending parallel to the bottom (3) and also a gas inlet facility (6) for introduction of process gases. The distance (H) between the process chamber lid (5) and the process chamber bottom (3) can be reduced to virtually zero. A cooling apparatus (7) by means of which the process chamber lid (5) is cooled in the process position during deposition of the layers is provided above the process chamber lid (5), with the distance between the cooling apparatus (7) and the process chamber lid (5) increasing as the distance (H) between the process chamber lid (5) and the process chamber bottom (3) is reduced.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: April 17, 2012
    Assignee: Aixtron Inc.
    Inventors: Martin Dauelsberg, Johannes Käppeler, Bernd Schulte
  • Patent number: 8138456
    Abstract: In the present invention, a plurality of suction ports are provided in a heating plate of a heat processing apparatus. The suction ports are provided at a central portion, an intermediate portion, and a peripheral portion of a substrate mounting surface of the heating plate, respectively. The warped state of the substrate before heat-processed is measured, so that when the substrate warps protruding downward, the suction start timing via a suction port corresponding to the outer peripheral portion of the substrate is set to be relatively early as compared to the suction start timings via the other suction ports, and when the substrate warps protruding upward, the suction start timing via the suction port corresponding to the central portion of the substrate is set to be relatively early as compared to the suction start timings via the other suction ports.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: March 20, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiteru Fukuda, Kenichi Shigetomi, Shouken Moro
  • Patent number: 8133322
    Abstract: A semiconductor fabrication reactor according to the invention comprises a rotatable susceptor mounted to the top of a reactor chamber. One or more wafers are mounted to a surface of the susceptor and the rotation of the susceptor causes the wafers to rotate within the chamber. A heater heats the susceptor and a chamber gas inlet allows semiconductor growth gasses into the reactor chamber to deposit semiconductor material on said wafers. A chamber gas outlet is included to allow growth gasses to exit the chamber. In a preferred embodiment, the inlet is at or below the level of said wafers and the outlet is preferably at or above the level of the wafers. A semiconductor fabrication system according to the invention comprises a source of gasses for forming epitaxial layers on wafers and a source of gasses for dopants in said epitaxial layers.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: March 13, 2012
    Assignee: Cree, Inc.
    Inventors: Shuji Nakamura, Steven DenBaars, Max Batres, Michael Coulter
  • Patent number: 8110045
    Abstract: Processing equipment for an object to be processed is provided with a process container, the internal of which can be evacuated, a gas introducing means for introducing a prescribed gas into the process container, a supporting table provided in the process container, a ring-shaped supporting part provided on the supporting table, a mounting plate for mounting the object to be processed and supported by the supporting part, a plurality of thermoelectric conversion elements provided on an upper plane of the supporting table on an inner side of the supporting part, an element storing space evacuating means for evacuating inside the element storing space formed by a lower surface of the mounting plate, which is supported by the supporting part, an upper plane of the supporting table and the supporting part.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masatake Yoneda, Shigeru Kasai, Masahiro Shimizu
  • Patent number: 8105078
    Abstract: A heat treatment jig for semiconductor silicon substrates is configured such that a cristobalitized oxide film is formed in a region where the cristobalitized oxide film is in contact with a silicon substrate backside. When said heat treatment jig is used, generation of a slip can be prevented during heat treatment. In the case where the heat treatment jig is used in combination with a shielding plate, particles are further prevented from adhering to the silicon substrate surface to maintain quality characteristics of the semiconductor silicon substrate at a higher level, and device production yield can largely be improved. The heat treatment jig can easily be manufactured by introducing a cristobalitization promoting agent to a surface or in the vicinity of a surface of the heat treatment jig, performing the heat treatment at temperatures in the range of 1000 to 1380° C., and repeating the introduction of the cristobalitization promoting agent and the heat treatment.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: January 31, 2012
    Assignee: Sumco Corporation
    Inventor: Naoshi Adachi
  • Publication number: 20120006493
    Abstract: A process chamber and a method for controlling the temperature of a substrate positioned on a substrate support assembly within the process chamber are provided. The substrate support assembly includes a thermally conductive body, a substrate support surface on the surface of the thermally conductive body and adapted to support a large area substrate thereon, one or more heating elements embedded within the thermally conductive body, and two or more cooling channels embedded within the thermally conductive body to be coplanar with the one or more heating elements. The cooling channels may be branched into two or more equal-length cooling passages being extended from a single point inlet and into a single point outlet to provide equal resistance cooling.
    Type: Application
    Filed: September 21, 2011
    Publication date: January 12, 2012
    Inventors: John M. WHITE, Robin L. TINER
  • Publication number: 20120006489
    Abstract: Substrates are contained in substrate containing holes which penetrate a tray in the thickness direction. A dielectric plate in a chamber is provided with a tray supporting surface which supports the lower surface of the tray and substrate placing sections which protrude upward, and has an electrostatic chuck electrode therein. The substrate supporting section which supports the substrate contained in the substrate containing holes is provided with a plurality of protruding sections formed at intervals in the circumferential direction of the substrate containing holes. The substrates are supported in point-contact mode by means of the protruding sections.
    Type: Application
    Filed: March 23, 2010
    Publication date: January 12, 2012
    Inventors: Shogo Okita, Hiromi Asakura
  • Patent number: 8092602
    Abstract: A thermally zoned substrate holder including a substantially cylindrical base having top and bottom surfaces configured to support a substrate. A plurality of temperature control elements are disposed within the base. An insulator thermally separates the temperature control elements. The insulator is made from an insulting material having a lower coefficient of thermal conductivity than the base (e.g., a gas- or vacuum-filled chamber).
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: January 10, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Steven T. Fink, Eric J. Strang
  • Patent number: 8093529
    Abstract: A method of stably controlling the temperature of a sample placed on a sample stage to a desired temperature by estimating a sample temperature accurately, the sample stage including a refrigerant flow path to cool the sample stage, a heater to heat the sample stage, and a temperature sensor to measure the temperature of the sample stage. This method comprises the steps of: measuring in advance the variation-with-time of supply electric power to the heater, temperature of the sample, and temperature of the temperature sensor, without plasma processing; approximating the relation among the measured values using a simultaneous linear differential equation; estimating a sample temperature from the variation-with-time of sensor temperature y1, heater electric power u1, and plasma heat input by means of the Luenberger's states observer based on the simultaneous linear differential equation used for the approximation; and performing a feedback control of sample temperature using the estimated sample temperature.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoyuki Kofuji, Tsunehiko Tsubone
  • Patent number: 8092637
    Abstract: A manufacturing method includes steps of: placing a film composed of dielectric, on the top surface of a sample stage, forming a film-like heater on the film made of the dielectric, supplying power to the heater to detect a temperature distribution, adjusting a resistance value of the heater on the basis of a result of detection of a temperature distribution so that the temperature distribution has a predetermined value, and then forming the film composed of the dielectric, on the heater.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yutaka Kouzuma, Yutaka Ohmoto, Mamoru Yakushiji, Ken Yoshioka, Tsunehiko Tsubone
  • Patent number: 8092639
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck with a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck and a memory storing a schedule of changes in RF power or wafer temperature.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: January 10, 2012
    Assignee: Advanced Thermal Sciences Corporation
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Publication number: 20120000610
    Abstract: In accordance with example embodiments, a plasma processing apparatus includes a chamber configured to perform a plasma process, an upper plate on the chamber, an antenna under the upper plate and the antenna is configured to generate plasma in the chamber, an upper insulator between the upper plate and the antenna and the upper insulator covers a top of the antenna, a lower insulator covering a bottom of the antenna, an antenna support ring configured to fix the antenna to the upper plate, and a metal gasket adhered to the antenna support ring.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 5, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jin Hyuk Choi, Sang Chul Han, Jong Il Kee, Young dong Lee, Guen Suk Lee, Seung Hun Oh
  • Patent number: 8088225
    Abstract: A substrate support system comprises a substrate holder having a plurality of passages extending between top and bottom surfaces thereof. The substrate holder supports a peripheral portion of the substrate backside so that a thin gap is formed between the substrate and the substrate holder. A hollow support member provides support to an underside of, and is configured to convey gas upward into one or more of the passages of, the substrate holder. The upwardly conveyed gas flows into the gap between the substrate and the substrate holder. Depending upon the embodiment, the gas then flows either outward and upward around the substrate edge (to inhibit backside deposition of reactant gases above the substrate) or downward through passages of the substrate holder, if any, that do not lead back into the hollow support member (to inhibit autodoping by sweeping out-diffused dopant atoms away from the substrate backside).
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: January 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Matt G. Goodman, Jereon Stoutyesdijk, Ravinder Aggarwal, Mike Halpin, Tony Keeton, Mark Hawkins, Lee Haen, Armand Ferro, Paul Brabant, Robert Vyne, Gregory M. Bartlett, Joseph P. Italiano, Bob Haro
  • Patent number: 8082977
    Abstract: A mounting apparatus includes a surface plate; a temperature control unit integrated with the surface plate; and a bottom plate integrated with the temperature control unit via a heat insulation ring, wherein a temperature of a target object held on the surface plate is capable of being controlled and the surface plate is formed of ceramic. The surface plate and the temperature control unit are coupled to each other by a first coupling member at each portion thereof except for each peripheral portion thereof such that the peripheral portion of the surface plate being not coupled thereto. The peripheral portion of the temperature control unit is coupled to the heat insulation ring by a second coupling member.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: December 27, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yutaka Akaike, Munetoshi Nagasaka
  • Publication number: 20110303641
    Abstract: Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.
    Type: Application
    Filed: May 19, 2011
    Publication date: December 15, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Chetan MAHADESWARASWAMY, Kallol BERA, Larry D. ELIZAGA
  • Patent number: RE43837
    Abstract: A substrate supporting apparatus includes a plate member of an aluminum alloy having a flat upper surface, bottomed pits formed in the plate member, and spacer members held in the pits, individually. The spacer members are sapphire spheres. The diameter of each spacer member is a little smaller than that of each pit. The upper end of each spacer member projects from the upper surface of the plate member. A spot facing is formed in a region that includes the open edge portion of the pit. A bending portion which is obtained by plastically deforming the open edge portion of the pit toward the spacer member is formed on a bottom surface of the spot facing. A V-shaped groove is formed behind the bending portion.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: December 4, 2012
    Assignee: NHK Spring Co., Ltd.
    Inventors: Naoya Kida, Toshihiro Tachikawa, Jun Futakuchiya