With Means To Heat The Workpiece Support Patents (Class 156/345.52)
  • Patent number: 8067067
    Abstract: Disclosed herein is a method for applying plasma-resistant coatings for use in semiconductor processing apparatus. The coatings are applied over a substrate which typically comprises an aluminum alloy of the 2000 series or the 5000 through 7000 series. The coating typically comprises an oxide or a fluoride of Y, Sc, La, Ce, Eu, Dy, or the like, or yttrium-aluminum-garnet (YAG). The coating may further comprise about 20 volume % or less of Al2O3. The coatings are typically applied to a surface of an aluminum alloy substrate or an anodized aluminum alloy substrate using a technique selected from the group consisting of thermal/flame spraying, plasma spraying, sputtering, and chemical vapor deposition (CVD). To provide the desired corrosion resistance, it is necessary to place the coating in compression. This is accomplished by controlling deposition conditions during application of the coating.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: November 29, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Senh Thach, Jim Dempster, Li Xu
  • Patent number: 8051556
    Abstract: An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus. The layer of dielectric material forms an electrostatic clamping mechanism and supports the substrate.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: November 8, 2011
    Assignee: Lam Research Corporation
    Inventors: Anthony J. Ricci, Keith Comendant, James Tappan
  • Publication number: 20110269314
    Abstract: Process chambers having shared resources and methods of use are provided. In some embodiments, substrate processing systems may include a first process chamber having a first substrate support disposed within the first process chamber, wherein the first substrate support has a first heater and a first cooling plate to control a temperature of the first substrate support; a second process chamber having a second substrate support disposed within the second process chamber, wherein the second substrate support has a second heater and a second cooling plate to control a temperature of the second substrate support; and a shared heat transfer fluid source having an outlet to provide a heat transfer fluid to the first cooling plate and the second cooling plate and an inlet to receive the heat transfer fluid from the first cooling plate and the second cooling plate.
    Type: Application
    Filed: October 14, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JARED AHMAD LEE, JAMES P. CRUSE, ANDREW NGUYEN, CORIE LYNN COBB, MING XU, MARTIN JEFF SALINAS, ANCHEL SHEYNER
  • Patent number: 8048228
    Abstract: A masking apparatus includes a mask base body and a mask plate. The mask base body includes at least one spacer plate, and a cavity in which an electronic component can be housed. The mask plate is disposed on an upper surface and/or a lower surface of the mask base body. The mask plate includes a film-forming opening with a shape corresponding to the shape of an external structural body to be formed on an outer surface of the component. The mask plate thus allows a film-forming operation to be selectively performed on the outer surface of the component through the film-forming opening. The cavity includes, in an inner surface thereof, a film-forming groove communicating with the film-forming opening so that the external structural body can be formed at once on an upper surface and/or a lower surface of, and also on a peripheral surface of the component.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: November 1, 2011
    Assignee: TDK Corporation
    Inventors: Hajime Kuwajima, Hitoshi Ohkubo
  • Patent number: 8038796
    Abstract: An apparatus for control of a temperature of a substrate has a temperature-controlled base, a heater, a metal plate, a layer of dielectric material. The heater is thermally coupled to an underside of the metal plate while being electrically insulated from the metal plate. A first layer of adhesive material bonds the metal plate and the heater to the top surface of the temperature controlled base. This adhesive layer is mechanically flexible, and possesses physical properties designed to balance the thermal energy of the heaters and an external process to provide a desired temperature pattern on the surface of the apparatus. A second layer of adhesive material bonds the layer of dielectric material to a top surface of the metal plate. This second adhesive layer possesses physical properties designed to transfer the desired temperature pattern to the surface of the apparatus. The layer of dielectric material forms an electrostatic clamping mechanism and supports the substrate.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: October 18, 2011
    Assignee: Lam Research Corporation
    Inventors: Anthony J. Ricci, Keith Comendant, James Tappan
  • Patent number: 8016975
    Abstract: An etching system. An etching chamber includes an exhaust port. A gas input pipe is connected to the etching chamber, inputting etching process gas thereinto. A top RF electrode is disposed above the etching chamber. A bottom RF electrode is disposed under the etching chamber and opposite the top RF electrode. The etching process gas is transformed into plasma by operation of the top and bottom RF electrodes. An exhaust pump is connected to the exhaust port, expelling the plasma from the etching chamber. A base is disposed in the etching chamber. A focus ring is disposed on the base, accommodating a wafer. The wafer is etched by the plasma. A barricade is disposed on the focus ring and corresponds to the exhaust port, regulating the plasma flowing through the wafer.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: September 13, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tu-Yen Huang, Yi-Hong Chen, Ta Chin Lee, Shang-Sheng Wu, Chiun-Tong Su
  • Patent number: 8012304
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes inner and outer zone backside gas pressure sources coupled to the electrostatic chuck for applying a thermally conductive gas under respective pressures to respective inner and outer zones of a workpiece-surface interface formed whenever a workpiece is held on the surface, and inner and outer zone heat exchangers coupled to respective inner and outer zones of said electrostatic chuck. The reactor further includes inner and outer zone temperature sensors in inner and outer zones of the electrostatic chuck and a thermal model capable of simulating heat transfer through the inner and outer zones, respectively, between the evaporator and the surface based upon measurements from the inner and outer temperature sensors, respectively.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: September 6, 2011
    Assignees: Applied Materials, Inc., Advanced Thermal Sciences Corporation
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Patent number: 8007591
    Abstract: A substrate holder (20) for supporting a substrate (30). A heating component (50) is positioned adjacent to a supporting surface and between the supporting surface and a cooling component (60). A fluid gap is positioned between the cooling component and the heating component, the fluid gap configured to receive a fluid to increase thermal conduction between the cooling component and the heating component. A brazing material is disposed between the cooling component and the heating component, the brazing material disposed adjacent to the fluid gap.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Thomas Hamelin
  • Patent number: 7993705
    Abstract: A method for using a film formation apparatus includes performing film formation of a product film selected from the group consisting of a silicon nitride film and a silicon oxynitride film on a target substrate within a reaction chamber of the film formation apparatus; and unloading the target substrate from the reaction chamber. Thereafter, the method includes first heating an inner surface of the reaction chamber at a post process temperature while supplying a post process gas for nitridation into the reaction chamber, thereby performing nitridation of a by-product film deposited on the inner surface of the reaction chamber; then rapidly cooling the inner surface of the reaction chamber, thereby cracking the by-product film by a thermal stress; and then forcibly exhausting gas from inside the reaction chamber to carry the by-product film, thus peeled off from the inner surface.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: August 9, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Nobutake Nodera, Kazuhide Hasebe, Kazuya Yamamoto
  • Patent number: 7988816
    Abstract: A plasma etching apparatus includes an upper electrode and a lower electrode, between which plasma of a process gas is generated to perform plasma etching on a wafer W. The apparatus further comprises a cooling ring disposed around the wafer, a correction ring disposed around the cooling ring, and a variable DC power supply directly connected to the correction ring, the DC voltage being preset to provide the correction ring with a negative bias, relative to ground potential, for attracting ions in the plasma and to increase temperature of the correction ring to compensate for a decrease in temperature of a space near the edge of the target substrate due to the cooling ring.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Masaru Sugimoto, Kunihiko Hinata, Noriyuki Kobayashi, Chishio Koshimizu, Ryuji Ohtani, Kazuo Kibi, Masashi Saito, Naoki Matsumoto, Yoshinobu Ooya, Manabu Iwata, Daisuke Yano, Yohei Yamazawa, Hidetoshi Hanaoka, Toshihiro Hayami, Hiroki Yamazaki, Manabu Sato
  • Patent number: 7981218
    Abstract: A substrate supporting mechanism includes a function for heating a substrate placed thereon in a process container of a substrate processing apparatus. The substrate supporting mechanism includes a worktable configured to place the substrate thereon and including a heating element made of silicon carbide and formed in a predetermined pattern; an electric feeder electrode configured to supply electricity to the heating element; and a partition member made of an electrically insulating material and interposed between portions adjacent to each other in the heating element formed in the predetermined pattern.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: July 19, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Jun Yamashita
  • Patent number: 7972444
    Abstract: A workpiece support is disclosed defining a workpiece-receiving surface. The workpiece support includes a plurality of fluid zones. A fluid, such as a gas, is fed to the fluid zones for contact with a workpiece on the workpiece support. The fluid can have selected thermoconductivity characteristics for controlling the temperature of the workpiece at particular locations. In accordance with the present disclosure, at least certain of the fluid zones are at different azimuthal positions. In this manner, the temperature of the workpiece can be adjusted not only in a radial direction but also in an angular direction.
    Type: Grant
    Filed: November 7, 2007
    Date of Patent: July 5, 2011
    Assignee: Mattson Technology, Inc.
    Inventors: Martin L. Zucker, Daniel J. Devine, Vladimir Nagorny, Jonathan Mohn
  • Patent number: 7959734
    Abstract: A substrate mounting structure that can maintain the temperature uniformity of a substrate mounted on a mounting stage. The substrate mounting structure disposed in a pressure reduced space has a base portion, a pillar portion mounted in a standing manner on the base portion and having an internal space, and a mounting stage supported on the pillar portion and having a substrate mounted thereon. The mounting stage has a heating element that heats the mounted substrate, and a thermal breaking unit that mechanically breaks an electrical power supply line connected to the heating element depending on the temperature of the substrate. The pillar portion is comprised of a thin-walled cylinder. The thermal breaking unit is disposed on the pillar portion's internal space side in the mounting stage, and the pressure in the internal space is reduced.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: June 14, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 7931776
    Abstract: A plasma processing apparatus including a chamber having an inner wall with a protective film thereon and a sample stage disposed in the chamber in which plasma is generated by supplying high-frequency wave energy to processing gas to conduct plasma processing for a sample on the sample stage using the plasma. The apparatus includes a control device which determines, based on monitor values of a wafer attracting current monitor (Ip) to monitor a current supplied from a wafer attracting power source, an impedance monitor (Zp) to monitor plasma impedance viewed from a plasma generating power source, and an impedance monitor (Zb) to monitor a plasma impedance viewed from a bias power supply, presence or absence of occurrence of an associated one of abnormal discharge in inner parts, deterioration in insulation of an insulating film of a wafer attracting electrode, and abnormal injection in a gas injection plate.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: April 26, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoshi Itabashi, Tsutomu Tetsuka, Seiichiro Kanno, Motohiko Yoshigai
  • Publication number: 20110092072
    Abstract: A heating plate for a substrate support assembly in a semiconductor plasma processing apparatus, comprises multiple independently controllable planar heater zones arranged in a scalable multiplexing layout, and electronics to independently control and power the planar heater zones. A substrate support assembly in which the heating plate is incorporated includes an electrostatic clamping electrode and a temperature controlled base plate. Methods for manufacturing the heating plate include bonding together ceramic or polymer sheets having planar heater zones, power supply lines, power return lines and vias.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 21, 2011
    Applicant: Lam Research Corporation
    Inventors: Harmeet Singh, Keith Gaff, Neil Benjamin, Keith Comendant
  • Patent number: 7927424
    Abstract: A substrate clamp ring has an edge exclusion lip with a variable bottom surface. At least a portion of that bottom surface has a height above the substrate contact level selected to minimize accumulation over time of deposited aluminum-copper alloy across lower portions of the bottom surface, and to allow the aluminum-copper alloy to be deposited to a thickness of at least 2 microns on each of a predetermined number of substrates without bridging. The height of the bottom surface at an innermost edge of the lip is preferably about 17 mils, while a height of the bottom surface over the substrate edge is preferably about 8.5 mils.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: April 19, 2011
    Assignee: STMicroelectronics, Inc.
    Inventor: Ardeshir Jehangir Sidhwa
  • Patent number: 7913752
    Abstract: A cooling system for a vacuum processing apparatus is provided with an internal heat conduction path for transfer of heat entering the subject body through the vacuum processing apparatus, a heat radiation path for radiation of the heat to an outside of the vacuum processing apparatus and a heat conduction path for regulation of quantity of heat transfer between the internal heat conduction path and the heat radiation path. Preferably, a heat pipe is applied to the internal heat conduction path.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: March 29, 2011
    Assignee: Ishikawajima-Harima Heavy Industries Co., Ltd.
    Inventors: Masashi Ueda, Yoshimi Watabe, Shusaku Yamasaki, Kazuo Miyoshi, Hiroyuki Otsuka
  • Publication number: 20110068084
    Abstract: A substrate holder which has an electrostatic chuck on a substrate holding side of a holder main body and electrostatically adsorbs a substrate includes: a heating unit which is built in the electrostatic chuck and heats the substrate; a circulation medium distribution path which is formed inside the holder main body and connected to a circulation medium supplying unit which circulates and supplies a circulation medium; a heat transference varying unit which is formed by sealing a heat transfer gas in a gap between the holder main body and the electrostatic chuck and connected to a heat transfer gas supply system which can control a sealing pressure; and a gas sealing unit which is formed by sealing a heat transfer gas in a gap between the electrostatic chuck and the substrate and connected to the heating transfer gas supply system.
    Type: Application
    Filed: October 13, 2010
    Publication date: March 24, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yoshida Tatsuhiko, Kaneko Kazuaki, Tanaka Yoh
  • Patent number: 7901509
    Abstract: A heating apparatus for regulating/controlling the surface temperature of a substrate is provided. At least a thermal pyrolytic graphite (TPG) layer is embedded in the heater to diffuse the temperature difference of the various components in the heating apparatus and provide temporal and spatial control of the surface temperature of the substrate, for a relatively uniform substrate temperature with the difference between the maximum and minimum temperature points on the substrate of less than 10° C.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: March 8, 2011
    Assignee: Momentive Performance Materials Inc.
    Inventors: John Mariner, Ajit Sane, Toshiki Ebata, Marc Schaepkens, Xiang Liu, Wei Fan
  • Publication number: 20110005686
    Abstract: A loading table structure which is adapted, in order to prevent damage to the loading table, so that large thermal stress does not occur in the loading table and so that the amount of supply of a purge gas for corrosion prevention to the loading table is minimized. The loading table structure is formed in a processing container capable of discharging gas contained therein and is used to load thereon an object to be processed. The loading table structure is provided with a loading table on which the object to be processed is loaded and which consists of a dielectric, a heating means which is provided to the loading table and which heats the object to be processed loaded on the loading table, and protective strut tubes which are mounted so as to vertically rise from the bottom section of the processing container, which have upper ends joined to the lower surface of the loading table to support the loading table, and which consist of a dielectric.
    Type: Application
    Filed: September 8, 2010
    Publication date: January 13, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Sumi TANAKA, Tomohito KOMATSU, Hiroo KAWASAKI
  • Patent number: 7867356
    Abstract: An adjustable RF coupling ring is capable of reducing a vertical gap between a substrate and a hot edge ring in a vacuum processing chamber. The reduction of the gap reduces polymer deposits on the substrate and electrostatic chuck and improves wafer processing.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: January 11, 2011
    Assignee: Lam Research Corporation
    Inventors: Jose Tong, Eric H. Lenz
  • Patent number: 7862659
    Abstract: The present invention relates to a semiconductor manufacturing device that a maintenance or a repairing is easy so that an efficiency of manufacturing can be enhanced because a high temperature of a susceptor can be rapidly down. The present invention relates to a semiconductor manufacturing device that an efficiency of manufacturing can be enhanced because a heater for heating the semiconductor is heated by an external heating device.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: January 4, 2011
    Assignee: TTS Co., Ltd.
    Inventors: You-Dong Lim, Jae-Ho Byun
  • Publication number: 20100319855
    Abstract: Disclosed are a substrate supporting unit, a substrate processing apparatus, and a method of manufacturing the substrate supporting unit. The substrate supporting unit includes a susceptor (12) provided with heaters (15a, 16b) to heat a substrate placed on the susceptor (12), and including a first temperature region and a second temperature region having a higher temperature than that of the first temperature region; and a heat dissipating member (20) including a contact surface (21) being in thermal contact with the second temperature region. The heat dissipating member (20) further includes an opening (23) corresponding to the first temperature region. The heat dissipating member (20) formed in a ring shape, in which the opening (23) is surrounded with the contact surface (21), and the contact surface (21) of the heat dissipating member (20) makes thermal contact with the lower surface of the susceptor (12).
    Type: Application
    Filed: February 3, 2009
    Publication date: December 23, 2010
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Dong-Keun Lee, Kyung-Jin Chu, Sung-Tae Je, Il-Kwang Yang
  • Patent number: 7850782
    Abstract: A thermally zoned substrate holder including a substantially cylindrical base having top and bottom surfaces configured to support a substrate. A plurality of temperature control elements are disposed within the base. An insulator thermally separates the temperature control elements. The insulator is made from an insulting material having a lower coefficient of thermal conductivity than the base (e.g., a gas- or vacuum-filled chamber).
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: December 14, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Steven T. Fink, Eric J. Strang
  • Patent number: 7845310
    Abstract: An antenna array for a radio frequency plasma process chamber including, an array of electrodes, an array of dielectric tubes concentrically disposed about each electrode tube to define a chamber configured to be at atmospheric pressure between an outer surface of each electrode tube and an inner surface of the corresponding dielectric tube, and a hermetic seal between each dielectric tube and the plasma process chamber configured to allow a vacuum or low pressure in the plasma process chamber.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: December 7, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: William F. DiVergilio, Aseem K. Srivastava
  • Patent number: 7846254
    Abstract: A heat transfer assembly having a heat spreading member sandwiched between a heat source and a heat sink is disclosed. The heat sink, the heat spreading member, and the heat source are pressed against the bottom of a substrate support plate by a bias member.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Boris S. Yendler, Alexander Matyushkin
  • Patent number: 7846255
    Abstract: Processing equipment for an object to be processed is provided with a process container, the internal of which can be evacuated, a gas introducing means for introducing a prescribed gas into the process container, a supporting table provided in the process container, a ring-shaped supporting part provided on the supporting table for supporting the object to be processed, a plurality of thermoelectric conversion elements provided on an upper plane of the supporting table on an inner side of the supporting part, an element storing space evacuating means for evacuating inside the element storing space formed by a lower plane of the object to be treated, which is supported by the supporting part, an upper plane of the supporting table and the supporting part.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: December 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Masatake Yoneda, Shigeru Kasai, Masahiro Shimizu
  • Patent number: 7842160
    Abstract: A tubular electrode (215) and a tubular magnet (216) are installed on an external section of a processing furnace (202) for an MMT device. A susceptor (217) for holding a wafer (200) is installed inside a processing chamber (201) of the processing furnace. A gate valve (244) for conveying the wafer into and out of the processing chamber; and a shower head (236) for spraying processing gas in a shower onto the wafer, are installed inside the processing furnace. A high frequency electrode (2) and a heater (3) are installed inside the susceptor (217) with a clearance between them and the walls forming the space. The clearances formed between the walls forming the space in the susceptor and the high frequency electrode and the heater prevent damage to the high frequency electrode and the heater even if a thermal expansion differential occurs between the high frequency electrode, the heater and the susceptor.
    Type: Grant
    Filed: May 14, 2008
    Date of Patent: November 30, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Katsuhisa Kasanami, Toshimitsu Miyata, Mitsunori Ishisaka
  • Patent number: 7837798
    Abstract: An apparatus for manufacturing a semiconductor or liquid crystal is provided with a reaction chamber housing a ceramic holder with an embedded resistive heating element, and a cylindrical support member one end of which supports the ceramic holder and the other end of which side is fixed to the reaction chamber. One end of the cylindrical support member is hermetically bonded to the ceramic holder; and a partition plate and sealing material hermetically seal the other end of which side. Embodiments include partitioning the space within the cylindrical support member with the ceramic holder, and the partition plate and depressurizing to vacuum or to a reduced pressure atmosphere of an inert gas.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: November 23, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Akira Kuibira, Masuhiro Natsuhara, Hirohiko Nakata
  • Patent number: 7833352
    Abstract: The invention relates to an apparatus for growing thin-films onto a substrate by exposing the substrate to alternate surface reactions of vapor-phase reactants for forming a thin-film onto the, substrate by means of said surface reactions. The apparatus comprises a vacuum vessel (1), a reaction chamber (2) with a reaction space into which the substrate can be transferred and which has infeed channels (6) for feeding therein the reactants used in said thin-film growth process, as well as outlet channels (4) for discharging gaseous reaction products and excess reactants'. According to the invention, said reaction chamber comprises a base part (9, 10) mounted stationary in respect to the interior of said vacuum vessel (1) and a movable part (18) adapted to be sealably closable against said'base part of said reaction chamber. The invention makes it possible to improve the cleanliness of the substrate load chamber and to reduce the degree of substrate contamination.
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: November 16, 2010
    Assignee: ASM International N.V.
    Inventors: Niklas Bondestam, Janne Kesälä, Leif Keto, Pekka T. Soininen
  • Patent number: 7824498
    Abstract: A substrate support has a support structure and a coating on the support structure having a carbon-hydrogen network. The coating has a contact surface having a coefficient of friction of less than about 0.3 and a hardness of at least about 8 GPa. The contact surface of the coating is capable of reducing abrasion and contamination of a substrate that contacts the contact surface. In one version, the support structure has a dielectric covering an electrode. A plurality of mesas on the dielectric have a coating with the contact surface thereon.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: November 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D. Parkhe, Kurt J. Ahmann, Matthew C. Tsai, Steve Sansoni
  • Patent number: 7815740
    Abstract: A substrate mounting table includes a plurality of passageways independently provided therein, a temperature control medium flowing through the passageways, and a gap formed between at least two of the passageways. In a substrate processing method for processing a substrate mounted on the substrate mounting table in a substrate processing apparatus while controlling a temperature thereof, a process is performed on the substrate while controlling the temperature of the substrate by flowing the temperature control medium through each of the passageways. The passageways are respectively provided in a central area of the substrate mounting table and a peripheral area located outside the central area, and the central area and the peripheral area are thermally isolated from each other by evacuating the gap so as to set the gap to a vacuum state.
    Type: Grant
    Filed: March 16, 2006
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kaoru Oohashi, Toshihiro Hayami
  • Patent number: 7806983
    Abstract: A deposition system includes a process chamber for conducting an ALD process to deposit layers on a substrate. An electrostatic chuck (ESC) retains the substrate. A backside gas increases thermal coupling between the substrate and the ESC. The ESC is cooled via a coolant flowing through a coolant plate and heated via a resistive heater. Various arrangements are disclosed.
    Type: Grant
    Filed: August 18, 2004
    Date of Patent: October 5, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Tony P. Chiang, Karl F. Leeser, Jeffrey A. Brown, Jason E. Babcoke
  • Patent number: 7806984
    Abstract: An apparatus for manufacturing a semiconductor or liquid crystal has, within a reaction chamber 1 to which a reactive gas is supplied, a ceramic holder 2 having a resistive heating element 7 embedded therein; and further comprises a ceramic cylindrical support member 3 one end of which supports the ceramic holder 2 and the other end of which is fixed to a portion of the reaction chamber 1, and an inert gas supply tube 4 and inert gas evacuation tube 5 each having an opening inside the cylindrical support member 3. It is preferable that the inert gas within the cylindrical support member 3 be maintained at less than 0.1 MPa (one atmosphere). By means of such an arrangement, oxidation and corrosion of electrodes provided on the rear surface of the ceramic holder can be prevented, without an oxidation-resistant seal or corrosion-resistant seal being applied.
    Type: Grant
    Filed: February 26, 2003
    Date of Patent: October 5, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Akira Kuibira, Masuhiro Natsuhara, Hirohiko Nakata
  • Patent number: 7807581
    Abstract: The present invention provides a plasma processing apparatus or a plasma processing method that can etch a multilayer film structure for constituting a gate structure with high accuracy and high efficiency. A plasma processing method of, on processing a sample on a sample stage 112 in a depressurized discharge room 117, etching a multilayer film (including a high-k and a metal gate) at 0.1 Pa or less and with the sample stage 112 temperature-regulated by using a pressure gauge 133 to be used for pressure regulation and connected to the processing room and a main pump for exhaustion 130.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: October 5, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Susumu Tauchi, Akitaka Makino, Seiichi Watanabe, Naoki Yasui
  • Publication number: 20100248397
    Abstract: A susceptor configured to be coupled to a material processing system is described. The susceptor comprises a substrate support comprising a central portion and an edge portion, wherein the central portion has a support surface configured to receive and support a substrate, and the edge portion extends beyond a peripheral edge of the substrate. The susceptor further comprises an edge reflector coupled to the edge portion of the substrate support and configured to partially or fully shield the peripheral edge of the substrate from radiative exchange with an outer region of the material processing system.
    Type: Application
    Filed: March 26, 2009
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Danny NEWMAN, Ronald NASMAN, Richard Anthony DUFF, III
  • Publication number: 20100243165
    Abstract: Disclosed herein is an apparatus for surface-treating a wafer using high-frequency inductively-coupled plasma, including a process chamber including a plasma generation unit into which a reaction gas is introduced and which generates plasma, and a wafer treatment unit in which any one or more selected from among plasma treatment, thin film formation and etching is performed; and a pressure control unit including a vacuum plate, and a pumping port, a two-stage valve, a turbo pump and an APC valve which are organically connected with the vacuum plate, to control a pressure in the process chamber and a pumping rate.
    Type: Application
    Filed: November 1, 2007
    Publication date: September 30, 2010
    Inventor: Pyung-yong Um
  • Patent number: 7803246
    Abstract: An etching system. An etching chamber includes an exhaust port. A gas input pipe is connected to the etching chamber, inputting etching process gas thereinto. A top RF electrode is disposed above the etching chamber. A bottom RF electrode is disposed under the etching chamber and opposite the top RF electrode. The etching process gas is transformed into plasma by operation of the top and bottom RF electrodes. An exhaust pump is connected to the exhaust port, expelling the plasma from the etching chamber. A base is disposed in the etching chamber. A focus ring is disposed on the base, accommodating a wafer. The wafer is etched by the plasma. A barricade is disposed on the focus ring and corresponds to the exhaust port, regulating the plasma flowing through the wafer.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: September 28, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tu-Yen Huang, Yi-Hong Chen, Ta Chin Lee, Shang-Sheng Wu, Chiun-Tong Su
  • Publication number: 20100240218
    Abstract: The etching method includes etching the silicon oxide film by supplying a halogen-containing gas and a basic gas to the substrate so that the silicon oxide film is chemically reacted with the halogen-containing gas and the basic gas to generate a condensation layer; etching silicon by supplying a silicon etching gas, which includes at least one selected from the group consisting of an F2 gas, an XeF2 gas, and a ClF3 gas, to the substrate; and after the etching of the silicon oxide film and the etching of the silicon, heating and removing the condensation layer from the substrate.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 23, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hajime UGAJIN
  • Patent number: 7800029
    Abstract: A heating device includes a high-frequency electrode embedded substantially in parallel with a heating surface of a ceramics base in the vicinity of the heating surface. A conducting hole toward this high-frequency electrode is formed in a back face of the ceramics base. This high-frequency electrode has a trapezoidal cone-like concave section toward the conducting hole at a region opposed to the conducting hole.
    Type: Grant
    Filed: March 19, 2007
    Date of Patent: September 21, 2010
    Assignee: NGK Insulators, Ltd.
    Inventor: Masao Nishioka
  • Patent number: 7786607
    Abstract: A method and apparatus for correcting overlay errors in a lithography system. During lithographic exposure, features being exposed on the wafer need to overlay existing features on the wafer. Overlay is a critical performance parameter of lithography tools. The wafer is locally heated during exposure. Thermal expansion causes stress between the wafer and the wafer table, which will cause the wafer to slip if it exceeds the local frictional force. To increase the amount of expansion allowed before slipping occurs, the wafer chuck is uniformly expanded after the wafer has been loaded. This creates an initial stress between the wafer and the wafer table. As the wafer expands due to heating during exposure, the expansion first acts to relieve the initial stress before causing an opposite stress from thermal expansion. The wafer may be also be heated prior to attachment to the wafer chuck, creating the initial stress as the wafer cools.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: August 31, 2010
    Assignee: ASML Holding N.V.
    Inventor: Peter Kochersperger
  • Publication number: 20100213170
    Abstract: An etching method which uses an apparatus having a chamber in which an etching gas is excited by plasma; a table arranged in the chamber which heats a substrate mounted thereon; and a frame member which includes etching-endurable material which is arranged around the table, and which has an upper surface arranged at a position lower than an upper surface of the table, the etching method including: arranging the substrate on the upper surface of the table such that a peripheral part of the substrate projects above the table; and arranging the substrate such that a ratio of a height from the upper surface of the frame member to a bottom surface of the substrate and a projecting length from a side surface of the table to an outer circumference of the substrate is 1.
    Type: Application
    Filed: June 19, 2008
    Publication date: August 26, 2010
    Applicant: ULVAC, INC.
    Inventors: Yutaka Kokaze, Mitsuhiro Endou, Masahisa Ueda, Koukou Suu, Toshiya Miyazaki, Toshiyuki Nakamura
  • Patent number: 7779783
    Abstract: A plasma processing apparatus includes a chamber, a dielectric top plate member disposed on an upper portion of the chamber and an antenna having a plurality of slots. The antenna is disposed on the top plate member and is in close contact with the top plate member. The top plate member includes a flat plate portion formed to face the substrate and a sidewall portion formed to extend from a peripheral region of the flat plate portion towards the substrate. The sides of the flat plate portion and the sidewall portion facing a plasma generation region have a curved surface extending between the flat plate portion and the sidewall portion and the sidewall portion has a thickness not smaller than ?g/4 but not greater than ?g, ?g being a wavelength of the microwave.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: August 24, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kiyotaka Ishibashi, Toshihisa Nozawa
  • Patent number: 7776156
    Abstract: A RF plasma generation and temperature control system for an inductively coupled plasma process chamber. The plasma generation system includes a heater that includes an elongated upper heating element substantially parallel to an elongated lower heating element, where the upper and lower heating elements are joined by one or more posts substantially perpendicular to the upper and lower heating elements. The system also including one or more RF coils featuring a crease at points of overlap with the posts. Also, a RF plasma generation system for an inductively coupled plasma process chamber, where the plasma generation system includes a heater thermally coupled to the chamber, and one or more RF coils coupled to the chamber, where the RF coils include a hollow tube having at least one flat side.
    Type: Grant
    Filed: February 10, 2005
    Date of Patent: August 17, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Maolin Long, David P. Sun
  • Patent number: 7771536
    Abstract: The substrate processing apparatus according to the present invention is aimed to stably and efficiently perform a deposition process on a substrate W. The substrate processing apparatus supports the substrate W in a position facing a heater portion and thus rotates a holding member holding the substrate W. Furthermore, the heating portion houses a SiC heater and a heat reflecting member in an internal portion of a quartz bell jar made of transparent quartz, and depressurizes an internal space of a processing vessel and an internal space of the quartz bell jar at the same time; thereby allowing the thickness of the quartz bell jar to be thinner, and thus improving thermal conductivity of heat from the SiC heater and preventing contamination by the SiC heater.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: August 10, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Horiguchi, Ryo Kuwajima
  • Patent number: 7763147
    Abstract: A plasma processing chamber configured to generate a plasma is provided. The plasma processing chamber includes a substrate support assembly which includes a substrate support that is capable of supporting a substrate. The plasma processing chamber further includes a plate having a bottom surface and a top surface, and the plate is coupled to the plasma processing chamber. The plate further includes a plurality of countersunk regions for receiving a corresponding plurality of fasteners that enable coupling of the plate to the plasma processing chamber, and the plate has a lip that surrounds an outer region of the plate near the top surface of the plate. The substrate support is configured to be connected to the top surface of the plate.
    Type: Grant
    Filed: May 15, 2006
    Date of Patent: July 27, 2010
    Assignee: Lam Research Corporation
    Inventors: Roger James Schutz, Mark Phillip Wright
  • Publication number: 20100163188
    Abstract: A mounting table structure 54, provided within a processing chamber 22 of a processing apparatus 20 so as to mount thereon a target object to be processed, includes a mounting table 58 made of a dielectric material and having a heating unit 64; and a cylindrical supporting column 56 which is extended upward from a bottom of the processing chamber and is made of a dielectric material and is configured to detachably support the mounting table 58. A cylindrical protection pipe 60 is fixed to a bottom surface of the mounting table 58 and is made of a dielectric material having a diameter smaller than a diameter of the supporting column. A functional rod member 62 is inserted through an inside of the protection pipe 60 and has an upper end in contact with the mounting table 58.
    Type: Application
    Filed: February 26, 2010
    Publication date: July 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Sumi Tanaka, Hiroo Kawasaki
  • Patent number: 7740703
    Abstract: A semiconductor film formation device has: a reaction vessel that includes a gas flow path to allow source gas to pass through and a substrate mount site provided in the gas flow path to mount a substrate; a temperature control means that is disposed opposite to the substrate mount site and close to the reaction vessel to control the internal temperature of the reaction vessel; and a thermal conductivity adjusting member that is disposed between the reaction vessel and the temperature control means. The thermal conductivity adjusting member has a section with a thermal conductivity different from the other section along the gas flow path.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: June 22, 2010
    Assignee: Hitachi Cable, Ltd.
    Inventors: Mitsuru Hasegawa, Akihiro Miyauchi, Kazutoshi Watanabe, Meguro Takeshi
  • Patent number: 7736528
    Abstract: A tray 15 for a dry etching apparatus 1 has substrate accommodation holes 19A to 19D penetrating thickness direction and a substrate support portion 21 supporting an outer peripheral edge portion of a lower surface 2a of a substrate 2. A dielectric plate 23 has a tray support surface 28 supporting a lower surface of the tray 15, substrate placement portions 29A through 29D inserted from a lower surface side of the tray 15 into the substrate accommodation holes 19A through 19D and having a substrate placement surface 31 at its upper end surface for placing the substrate 2. A dc voltage applying mechanism 43 applies a dc voltage to an electrostatic attraction electrode 40. A heat conduction gas supply mechanism 45 supplies a heat conduction gas between the substrate 2 and substrate placement surface 31. The substrate 2 can be retained on the substrate placement surface 31 with high degree of adhesion.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki
  • Patent number: 7736462
    Abstract: The invention relates to an installation, in particular a vacuum processing installation for processing a substrate (130), in particular a semiconductor wafer, comprising a processing station. Said installation comprises a frame (110), to which is clamped a carrier (120), for holding and/or transporting the substrate (130), whereby the latter (130) can be fastened by its entire surface to said carrier (120). The processing station preferably comprises a chuck electrode (140) with a flat outer surface (141) and the carrier (120) can be positioned parallel and adjacent to said outer surface (141) of the chuck electrode (140). The carrier is composed in particular of a non-conductive dielectric material and is provided on one side with a conductive layer (122), in such a way that the chuck electrode (140) and the carrier (120) form an electrostatic chuck.
    Type: Grant
    Filed: January 13, 2004
    Date of Patent: June 15, 2010
    Assignee: OC Oerlikon Balzers AG
    Inventor: Jurgen Weichart