Etching Inorganic Substrate Patents (Class 216/96)
  • Patent number: 7022244
    Abstract: To supply microfine liquid droplets to a microscopic space for enabling micromachining and provide a method and an apparatus for forming the microfine liquid droplets, there is provided a method and an apparatus for generating liquid fine particles, comprising atomizing a liquid, fractionating the atomized liquid particles to form microfine liquid droplets by inertial fractionation and contacting the microfine liquid droplets with a heated carrier gas, thereby thermally drying the liquid particles to form finer particles.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: April 4, 2006
    Assignee: DENSO Corporation
    Inventors: Atusi Sakaida, Toshihisa Taniguchi, Hiroshi Tanaka
  • Patent number: 7022251
    Abstract: Disclosed is a method for forming a conductor on a dielectric. The method commences with the deposition of a conductive thickfilm on the dielectric, followed by a “subsintering” of the conductive thickfilm. Either before or after the subsintering, the conductive thickfilm is patterned to define at least one conductor. After subsintering, the conductive thickfilm is etched to expose the conductor(s), and the conductor(s) are then fired. A brief chemical etch may be used after the final firing step if improved wire-bondability is required.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: April 4, 2006
    Assignee: Agilent Technologies, Inc.
    Inventors: John F. Casey, Lewis R. Dove, Ling Liu, James R. Drehle, R. Frederick Rau, Jr., Rosemary O. Johnson
  • Patent number: 7018556
    Abstract: A method for maintaining a micro-roughness finish after etching chrome from a surface of a calcium fluoride (CaF2) object. Etching the chrome a first amount in a first chrome etchant. Etching the chrome a second amount beyond the first predetermined amount in second chrome etchant, such that the CaF2 object maintains a low micro-roughness.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: March 28, 2006
    Assignee: ASML Holding N.V.
    Inventors: Nicholas A. DeLuca, Ronald P. Albright
  • Patent number: 7014784
    Abstract: In one embodiment, a plurality of thickfilm dielectric layers are printed on a substrate, with each successive layer being printed over a previous layer, and with each layer having sloped walls. After printing a first subset of the plurality of thickfilm dielectric layers, a first conductive thickfilm is printed over at least the walls of the first subset of dielectric layers. Then, after printing a second subset of the plurality of thickfilm dielectric layers, a second conductive thickfilm is printed over the second subset of dielectric layers (with the first and second conductive thickfilms being electrically coupled).
    Type: Grant
    Filed: March 3, 2004
    Date of Patent: March 21, 2006
    Assignee: Agilent Technologies, Inc.
    Inventors: Lewis R. Dove, John F. Casey
  • Patent number: 6955586
    Abstract: The present invention provides a chemical metal polishing (CMP) method with improved flexibility and improved processing window, especially as it relates to the chemical aspect of CMP technology. Broadly speaking, the invention has two aspects: according to one aspect, the invention provides a new CMP composition, comprising as an oxidizer, at least one of inorganic halogen derivative and dissolved oxygen while in a second aspect the invention provides an improved method for polishing metals.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: October 18, 2005
    Assignee: J. G. Systems, Inc.
    Inventor: John Grunwald
  • Patent number: 6949470
    Abstract: Priorly, semiconductor devices wherein a flexible sheet with a conductive pattern was employed as a supporting substrate, a semiconductor element was mounted thereon, and the ensemble was molded have been developed. In this case, problems occur that a multilayer wiring structure cannot be formed and warping of the insulating resin sheet in the manufacturing process is prominent. In order to solve these problems, a laminated plate 10 formed by laminating a first conductive film 11 and a second conductive film 12 is covered with a photoresist layer PR having opening portions 13 with inclined surfaces 13S, a conductive wiring layer 14 is formed in the opening portions by electrolytic plating to form inverted inclined surfaces 14R, and then, when covering the same with the sealing resin layer 21, an anchoring effect is produced by making the sealing resin layer 21 bite into the inverted inclined surfaces 14R so as to strengthen bonding of the sealing resin layer 21 with the conductive wiring layer 14.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: September 27, 2005
    Assignees: Sanyo Electric Co., Ltd., Kanto Sanyo Semiconductors Co., Ltd.
    Inventors: Yusuke Igarashi, Hideki Mizuhara, Noriaki Sakamoto
  • Patent number: 6918938
    Abstract: A polishing composition comprising an abrasive, an acid and/or a salt thereof, and water, wherein copper (Cu) is contained in an amount of 1 mg or less per kg of the polishing composition; a process for reducing a surface defect of a substrate comprising applying to a substrate or a polishing pad a polishing composition comprising an abrasive, an acid and/or a salt thereof, and water, wherein copper (Cu) is contained in an amount of 1 mg or less per kg of the polishing composition fed to the substrate or the polishing pad; and a process for manufacturing a substrate comprising a polishing step comprising applying to a substrate or a polishing pad the above polishing composition.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: July 19, 2005
    Assignee: Kao Corporation
    Inventors: Toshiya Hagihara, Shigeo Fujii
  • Patent number: 6902626
    Abstract: A liquid etchant and a method for roughening a copper surface each capable of providing copper with a roughened surface increased in acid resistance regardless of a chlorine ion in a short period of time, to thereby ensure firm adhesion between a copper conductive pattern and an outer layer material during manufacturing of a printed circuit board, resulting in the manufacturing being highly simplified. The liquid etchant includes a main component containing an oxo acid such as sulfuric acid and a peroxide such as hydrogen peroxide. Also, the liquid etchant includes an auxiliary component containing a tetrazole such as 5-aminotetrazole or the like, or a 1,2,3-azole. The liquid etchant permits a copper surface to be roughened in an acicular manner.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: June 7, 2005
    Assignee: Ebara Densan Ltd.
    Inventors: Yoshihiko Morikawa, Kazunori Senbiki, Nobuhiro Yamazaki
  • Patent number: 6861098
    Abstract: A method for forming a superhard polycrystalline diamond or diamond-like element with greatly improved resistance to thermal degradation without loss of impact strength. Collectively called PCD elements, these elements are formed with a binder-catalyzing material in a high-temperature, high-pressure process. The PCD element has a plurality of partially bonded diamond or diamond-like crystals forming at least one continuous diamond matrix, and the interstices among the diamond crystals forming at least one continuous interstitial matrix containing a catalyzing material. The element has a working surface and a body, where a portion of the interstitial matrix in the body adjacent to the working surface is substantially free of the catalyzing material to a depth from the working surface, the remaining interstitial matrix contains the catalyzing material, causing a 950 degrees C. temperature at the working surface to be less than 750 degrees C. at the depth.
    Type: Grant
    Filed: October 1, 2003
    Date of Patent: March 1, 2005
    Assignee: ReedHycalog UK Ltd
    Inventors: Nigel Dennis Griffin, Peter Raymond Hughes
  • Patent number: 6861122
    Abstract: The ceramic member of this invention is formed on the surfaces of crystal grains with a plurality of protrusions having smaller diameter than that of the crystal grain, said crystal grain composing at least the surface or its vicinity of a dense ceramic base material of purity being 95 wt % or higher. Such ceramic members may be produced by performing the surface of a dense ceramic base material with a corrosion treatment in an acid etchant, the dense ceramic base material having purity of 95 wt % or higher and exceeding 90% of a theoretical density, whereby ceramic grains existing on the surface or its vicinity of the base material are formed on the surface thereof with a plurality of protrusions.
    Type: Grant
    Filed: January 31, 2002
    Date of Patent: March 1, 2005
    Assignee: Toshiba Ceramics Co., Ltd.
    Inventors: Kazuhide Kawai, Shunzo Shimai, Makoto Takahashi, Hiroaki Shitara, Fumio Tokuoka, Masahiko Ichishima, Takashi Suzuki, Toyokazu Matsuyama, Hideo Uemoto
  • Patent number: 6861007
    Abstract: Embodiments in accordance with the present invention provide for removing organic materials from substrates, for example substrates employed in the fabrication of integrated circuits, liquid crystal displays and the like. Such embodiments also provide for forming self-limiting oxide layers on oxidizable materials disposed on such substrates where such materials are exposed to the methods of the present invention. The methods of the present invention provide for contacting substrates with a solution of ozone, water and a surfactant, the solution being effective for removing organic materials and forming self-limiting oxide layers on oxidizable materials.
    Type: Grant
    Filed: March 2, 2001
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 6858092
    Abstract: A device for liquid treatment of a defined area of a wafer-shaped article, especially of a wafer, near the edge, in which the liquid is applied to a first surface, flows essentially radially to the outside to the peripheral-side edge of the wafer-shaped article and around this edge onto the second surface, the liquid wetting a defined section near the edge on the second surface and thereupon being removed from the wafer-shaped article.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: February 22, 2005
    Assignee: Sez AG
    Inventor: Kurt Langen
  • Patent number: 6858538
    Abstract: Methods and devices for mechanical and/or chemical-mechanical polarization of semiconductor wafers, field emission displays and other microelectronic substrate assemblies. One method of plagiarizing a micro electronic substrate assembly in accordance with the invention includes pressing a substrate assembly against a plagiarizing surface of a polishing pad at a pad/substrate interface defined by a surface area of the substrate assembly contacting the plagiarizing surface. The method continues by moving the substrate assembly and/or the polishing pad with respect to the other to rub at least one of the substrate assembly and the plagiarizing surface against the other at a relative velocity. As the substrate assembly and polishing pad rub against each other, a parameter indicative of drag force between the substrate assembly and the polishing pad is measured or sensed at periodic intervals.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: February 22, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Jim Hofmann, Gundu M. Sabde, Stephen J. Kramer, Scott E. Moore
  • Patent number: 6852472
    Abstract: The removal of defect particles that may be created during polysilicon hard mask etching, and that are embedded within the polysilicon layer, is disclosed. Oxide is first grown in the polysilicon layer exposed through the patterned hard mask layer, so that the defect particle becomes embedded within the oxide. Oxide growth may be accomplished by rapid thermal oxidation (RTO). The oxide is then exposed to an acidic solution, such as hydrofluoric (HF) acid, to remove the oxide and the embedded defect particle embedded therein.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: February 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., LTD
    Inventors: Chu-Sheng Lee, Tou-Yu Chen
  • Patent number: 6843928
    Abstract: A method for removing metal cladding adhered to an airfoil, such as a turbine blade, wherein the airfoil comprises a substrate and wherein at least a portion of the cladding is adhered to at least one surface of the substrate of the airfoil. In this method the cladding is treated with a chemical etchant of the metal that the cladding is made of for a period of time sufficient to remove at least the portion of the cladding adhered to the at least one surface of the substrate. The substrate is made of a material that is chemically resistant to the etchant.
    Type: Grant
    Filed: October 12, 2001
    Date of Patent: January 18, 2005
    Assignee: General Electric Company
    Inventors: Larry Dean Cline, Gerald Alexander Pauley
  • Patent number: 6837944
    Abstract: A method of cleaning semiconductor wafers before the epitaxial deposition comprising (A) etching silicon wafers with HF; (B) rinsing the etched wafers with ozonated ultrapure water; (C) treating the rinsed wafers with dilute SC1; (D) rinsing the treated wafers; (E) treating the wafers with dilute HF; (F) rinsing the wafers with DI water; (G) drying the wafers with nitrogen and a trace amount of IPA; wherein steps (E) through (G) are conducted in a single dryer chamber and wafers are not removed from the chamber between steps. A system comprising a single tank adapted for cleaning, etching, rinsing, and drying the wafers has means to inject HF into a DI water stream.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: January 4, 2005
    Assignee: Akrion LLC
    Inventors: Ismail Kashkoush, Gim-Syang Chen, Richard Ciari, Richard E. Novak
  • Publication number: 20040245218
    Abstract: A method for fabricating a mold for a light guide plate in accordance with the present invention generally includes the following steps: providing a substrate (18); and providing electron beams (15) to etch the substrate according to predetermined patterns. Because a focus diameter of the electron beams is adjustable, a very narrow line-width of the patterns can be obtained by employing the fine focus diameter of the electron beams. That is, the mold (18′) fabricated by the present invention can have fine patterns at the nanoscale level. The light guide plate (22) formed using the mold has correspondingly fine patterns. These fine patterns can greatly enhance the quality and performance of the light guide plate.
    Type: Application
    Filed: June 3, 2004
    Publication date: December 9, 2004
    Inventor: Ga-Lane Chen
  • Publication number: 20040241998
    Abstract: A system for processing a workpiece includes a process head assembly and a base assembly. The process head assembly has a process head and an upper rotor. The base assembly has a base and a lower rotor. The base and lower rotor have magnets wherein the upper rotor is engageable with the lower rotor via a magnetic force created by the magnets. The engaged upper and lower rotors form a process chamber where a semiconductor wafer is positioned for processing. Process fluids for treating the workpiece are introduced into the process chamber, optionally while the processing head spins the workpiece. Additionally, air flow around and through the process chamber is managed to reduce particle adders on the workpiece.
    Type: Application
    Filed: June 14, 2004
    Publication date: December 2, 2004
    Inventor: Kyle M. Hanson
  • Patent number: 6821794
    Abstract: A system and method for determining endpoint detection in semiconductor wafer planarization is provided. The system and method provide a flexible solution that can compensate for baseline variability induced errors that may otherwise occur in endpoint detection. The system uses an endpoint detection signal that monitors the optical characteristics of the wafer being planarized. The system and method continue to monitor the detection signal during planarization until it meets endpoint criterion that indicates endpoint completion. When the endpoint criterion is reached, a new snapshot is taken from a previous time period and a new baseline is calculated. The endpoint detection signal is then recalculated based upon the new baseline and the recalculated detection signal is again compared to the endpoint criterion. If the recalculated endpoint detection signal again substantially meets the endpoint criterion then the detection of endpoint is confirmed.
    Type: Grant
    Filed: October 4, 2002
    Date of Patent: November 23, 2004
    Assignee: Novellus Systems, Inc.
    Inventors: Thomas Laursen, Mamoru Yamayoshi
  • Patent number: 6818142
    Abstract: A solution comprising potassium hydrogen peroxymonosulfate containing an elevated level of KHSO5 and having a weight ratio of SO5 to SO4 of greater than 1.0:1, and its use in microetching metal substrates is disclosed.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: November 16, 2004
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Thomas Peter Tufano, Michael Brian Coxey
  • Patent number: 6818031
    Abstract: A polishing composition comprising an abrasive, an oxidizing agent, a polishing accelerator, and water, wherein the polishing accelerator comprises an organic phosphonic acid; a method for manufacturing a substrate, comprising polishing a substrate to be polished with the above polishing composition; a method for polishing a substrate comprising polishing a substrate to be polished with the above polishing composition; a process for reducing fine scratches of a substrate, comprising polishing a substrate to be polished with the above polishing composition; and a process for accelerating polishing of a magnetic disk substrate, comprising applying the above polishing composition to a magnetic disk substrate to be polished. The polishing composition is highly suitable for polishing a magnetic disk substrate requiring high surface quality to be used in memory hard disk drives.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: November 16, 2004
    Assignee: Kao Corporation
    Inventor: Yoshiaki Oshima
  • Patent number: 6805949
    Abstract: One method of the present invention relates to a method for enhancing adhesion of spraying metal to a ceramic model. The method is comprised of providing the ceramic model having a spray surface, and modifying at least a portion of the spray surface if the ceramic model to enhance adhesion of spray metal to the spray surface.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: October 19, 2004
    Assignee: Ford Motor Company
    Inventors: Allen Dennis Roche, John Michael Nicholson, Richard L. Allor, David Warren Worthey
  • Publication number: 20040200806
    Abstract: A regeneration process is disclosed for an etching solution composed of a phosphoric acid solution and used in etching silicon nitride films in an etch bath. As a result of the etching, the etching solution contains a silicon compound. According to the regeneration process, the etching solution with a silicon compound contained therein is taken out of the etch bath. Water is then added to the taken-out etching solution to lower a concentration of phosphoric acid in the etching solution to 80 to 50 wt. %. By the lowing of the concentration of phosphoric acid, the silicon compound is caused to precipitate. The thus-precipitated silicon compound is removed from the etching solution. An etching process making use of the regeneration process and an etching system suitable for use in practicing the regeneration process and etching process are also disclosed.
    Type: Application
    Filed: September 15, 2003
    Publication date: October 14, 2004
    Applicant: m FSI LTD.
    Inventors: Nobuhiko Izuta, Mitsugu Murata
  • Patent number: 6797326
    Abstract: Griffin, Nigel, et al78.1081-1.3-29 Disclosed is a method for manufacturing a polycrystalline diamond or diamond-like element with greatly improved wear resistance without loss of impact strength. These elements are formed with a binder-catalyzing material in a high-temperature, high-pressure (HTHP) process. The PCD element has a body with a plurality of bonded diamond or diamond-like crystals forming a continuous diamond matrix that has a diamond volume density greater than 85%. Interstices among the diamond crystals form a continuous interstitial matrix containing a catalyzing material. The diamond matrix table is formed and integrally bonded with a metallic substrate containing the catalyzing material during the HTHP process. The diamond matrix body has a working surface, where a portion of the interstitial matrix in the body adjacent to the working surface is substantially free of the catalyzing material, and the remaining interstitial matrix contains the catalyzing material.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: September 28, 2004
    Assignee: Reedhycalog UK Ltd.
    Inventors: Nigel Dennis Griffin, Peter Raymond Hughes
  • Patent number: 6787056
    Abstract: A planarization method using anisotropic etching can be applied to planarize an insulating layer with an uneven surface on a substrate. H2SO4, H3PO4, HF and H2O are mixed to form an etching solution. The substrate is placed into the etching solution to make the etching solution pass the surface of the insulating layer at a flow rate to etch the insulating layer. After a period of etching time, the insulating layer with a more planar surface can be obtained.
    Type: Grant
    Filed: February 7, 2002
    Date of Patent: September 7, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Wen-Bin Tsai, Ching-Yu Chang, Chun-Pei Wu, Huei-Huang Chen, Samuel C. Pan
  • Publication number: 20040169013
    Abstract: A chemical composition for selectively removing an aluminum-containing material from a substrate comprises an acid having a formula of HxAF6, a precursor thereof, and a mixture of said acid and said precursor; wherein A is selected from the group consisting of Si, Ge, Ti, Zr, Al, and Ga; and x is in a range from 1 to 6, inclusive. The chemical composition can comprise at least another acid selected from the group consisting of phosphoric acid, nitric acid, sulfuric acid, hydrochloric acid, hydrofluoric acid, hydrobromic acid, hydriodic acid, acetic acid, perchloric acid, phosphorous acid, phosphinic acid, alkyl sulfonic acids, mixtures thereof, and precursors thereof. The chemical composition can be used to remove aluminum seal strips selectively from the dovetail of a turbine-engine blade.
    Type: Application
    Filed: February 28, 2003
    Publication date: September 2, 2004
    Applicant: General Electric Company
    Inventors: Lawrence Bernard Kool, James Anthony Ruud, Kenneth B. Potter, Myron Clyde Murth, Ladd Sterling Laird, Gabriel Ofori-Okai
  • Patent number: 6776918
    Abstract: The invention provides a titanium composite material comprising a bonded laminate having a layer of macromolecular material bonded to the modified surface of a titanium sheet or a titanium alloy sheet, and a process for preparing a titanium composite material, the process comprising the step of bonding a macromolecular material to a titanium sheet or a titanium alloy sheet having a modified surface to be bonded.
    Type: Grant
    Filed: December 8, 2000
    Date of Patent: August 17, 2004
    Assignees: Showa Co., Ltd., Japan as represented by Secretary of Agency of Industrial Science and Technology
    Inventors: Susumu Yoshikawa, Yuko Tanaka, Teruki Takayasu, Hiromasa Ogawa, Kinji Onoda, Masatada Makino, Takashi Nishiyama
  • Publication number: 20040140290
    Abstract: A method of making a unitized tungsten electrode which exhibits superior mechanical and electrical properties which includes providing a length of cylindrical cut stock having a predetermined diameter and length. The stock is ground to form a rough unfinished electrode having an enlarged tip or nose portion at one end integrally connected to an elongated shank section. The unfinished electrode is treated by exposure to a chemical etchant for a time sufficient to form a finished electrode characterized by a smooth nose and shank surface and rounded undercut and ends. The invention includes the electrode formed by the described process.
    Type: Application
    Filed: December 24, 2003
    Publication date: July 22, 2004
    Applicant: Welch Allyn, Inc.
    Inventors: Dean A. Bell, Timothy D. Russell
  • Patent number: 6758985
    Abstract: A method of removing a ceramic coating, such as a thermal barrier coating (TBC) of yttria-stabilized zirconia (YSZ), from the surface of a component, such as a gas turbine engine component. The method generally entails subjecting the ceramic coating to an aqueous solution of ammonium bifluoride, optionally containing a wetting agent, such as by immersing the component in the solution while maintained at an elevated temperature. Using the method of the invention, a ceramic coating can be completely removed from the component and any cooling holes, with essentially no degradation of the bond coat.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: July 6, 2004
    Assignee: General Electric Company
    Inventor: William C. Brooks
  • Publication number: 20040118814
    Abstract: An etching solution for a multiple layer of copper and molybdenum includes: about 5% to about 30% by weight of a hydrogen peroxide; about 0.5% to about 5% by weight of an organic acid; about 0.2% to about 5% by weight of a phosphate; about 0.2% to about 5% by weight of a first additive having nitrogen; about 0.2% to about 5% by weight of a second additive having nitrogen; about 0.01% to about 1.0% by weight of a fluoric compound; and de-ionized water making a total amount of the etching solution 100% by weight.
    Type: Application
    Filed: December 11, 2003
    Publication date: June 24, 2004
    Inventors: Seong-Su Kim, Yong-Suk Choi, Gee-Sung Chae, Gyoo-Chul Jo, Oh-Nam Kwon, Kyoung-Mook Lee, Yong-Sup Hwang, Seung-Yong Lee
  • Patent number: 6749766
    Abstract: Metal oxide films are etched with a metal and an etch liquid containing an acid and a metal penetration control agent.
    Type: Grant
    Filed: January 10, 2003
    Date of Patent: June 15, 2004
    Assignee: Feldman Technology Corporation
    Inventors: Douglas McLean, Bernard Feldman
  • Publication number: 20040069321
    Abstract: A method and a device for producing an adhesive surface on a substrate which can be bonded to another substrate. In an implementation, the technique includes treating the surface of the substrate by wet chemical etching to remove an oxide layer and to provide a hydrophobic surface, and exposing the etched hydrophobic surface to a gaseous ozone atmosphere to provide a dry hydrophilic surface. A device for producing an adhesive surface on a substrate according to an implementation includes a bath with an etchant for removing an oxide layer from the surface of the substrate and to produce a hydrophobic surface, and a container having an inner volume that surrounds the bath. The inner volume also includes a gaseous ozone atmosphere to produce a dry hydrophilic surface.
    Type: Application
    Filed: September 16, 2003
    Publication date: April 15, 2004
    Inventors: Christophe Maleville, Corinne Maunand-Tussot
  • Patent number: 6720266
    Abstract: Methods and devices for mechanical and/or chemical-mechanical planarization of semiconductor wafers, field emission displays and other microelectronic substrate assemblies. One method of planarizing a microelectronic substrate assembly in accordance with the invention includes pressing a substrate assembly against a planarizing surface of a polishing pad at a pad/substrate interface defined by a surface area of the substrate assembly contacting the planarizing surface. The method continues by moving the substrate assembly and/or the polishing pad with respect to the other to rub at least one of the substrate assembly and the planarizing surface against the other at a relative velocity. As the substrate assembly and polishing pad rub against each other, a parameter indicative of drag force between the substrate assembly and the polishing pad is measured or sensed at periodic intervals.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: April 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Jim Hofmann, Gundu M. Sabde, Stephen J. Kramer, Scott E. Moore
  • Publication number: 20040061056
    Abstract: An infrared detector is disclosed having a first substrate made of indium phosphide and a first layer formed on the first substrate and made of indium gallium arsenide. The infrared detector further includes a second substrate, which is made of silicon and has a readout integrated circuit that is electrically coupled to the first layer. After the indium gallium arsenide material is formed, the first substrate is substantially thinned or removed by mechanical techniques and/or a chemical etch process to extend the spectral range of the infrared detector to wavelengths beyond that of a conventional infrared detector.
    Type: Application
    Filed: September 26, 2002
    Publication date: April 1, 2004
    Inventors: Jeffrey B. Barton, Theodore R. Hoelter
  • Publication number: 20040006924
    Abstract: The present invention provides a composition for chemical-mechanical polishing which comprises at least one abrasive particle having a surface at least partially coated by a activator. The activator comprises a metal other than a metal of Group 4(b), Group 5(b) or Group 6(b). The composition further comprises at least one oxidizing agent. The composition is believed to be effective by virtue of the interaction between the activator coated on the surface of the abrasive particles and the oxidizing agent, at the activator surface, to form free radicals. The invention further provides a method that employs the composition in the polishing of a feature or layer, such as a metal film, on a substrate surface. The invention additionally provides a substrate produced this method.
    Type: Application
    Filed: February 11, 2003
    Publication date: January 15, 2004
    Inventors: Brandon Shane Scott, Robert J. Small
  • Patent number: 6666987
    Abstract: A liquid etchant and a method for roughening a copper surface each capable of providing copper with a roughened surface increased in acid resistance regardless of a chlorine ion in a short period of time, to thereby ensure firm adhesion between a copper conductive pattern and an outer layer material during manufacturing of a printed circuit board, resulting in the manufacturing being highly simplified. The liquid etchant includes a main component containing an oxo acid such as sulfuric acid and a peroxide such as hydrogen peroxide. Also, the liquid etchant includes an auxiliary component containing a tetrazole such as 5-aminotetrazole or the like, or a 1,2,3-azole. The liquid etchant permits a copper surface to be roughened in an acicular manner.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: December 23, 2003
    Assignee: Ebara Densan Ltd.
    Inventors: Yoshihiko Morikawa, Kazunori Senbiki, Nobuhiro Yamazaki
  • Patent number: 6656241
    Abstract: This invention relates to a slurry composition and a method of its preparation. In particular, the slurry composition of the present invention includes a silica wherein the silica comprises a surface modification. The silica-based slurry of the present invention is suitable for polishing articles and especially useful for chemical-mechanical planarization (“CMP”) of semiconductor and other microelectronic substrates.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: December 2, 2003
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Stuart D. Hellring, Colin P. McCann, Charles F. Kahle, Yuzhuo Li, Jason Keleher
  • Patent number: 6652659
    Abstract: A method of rinsing an electronic substrate recognizes that adding a buffer to a rinsing fluid eliminates fluctuations in the amount of residues on an electronic substrate, and a buffered rinsing fluid is prepared by (a) providing water from a water source; (b) deionizing the water to produce deionized water; (c) adding a buffer to the deionized water at a concentration effective to eliminate fluctuations in the amount of residues on the electronic substrate. The electronic substrate is rinsed with the buffered rinsing fluid.
    Type: Grant
    Filed: November 30, 1999
    Date of Patent: November 25, 2003
    Assignee: Honeywell International Inc.
    Inventors: Glen Roeters, Raj Kumar
  • Publication number: 20030213773
    Abstract: The present invention provides a method of forming a circuit pattern on an integrally bonded member, the method not requiring a correction step of a laminate film or a resist film which has been necessary at the time of wet treatment of the integrally bonded member. After a circuit pattern forming metal plate 13 is bonded on a part of a ceramic substrate 12 so as to expose an outer peripheral edge portion of the ceramic substrate 12 in an integrally bonded member 10, the integrally bonded member 10 is set on a treating apparatus 30 while being covered with a masking member 20 having a window portion 22 from which the circuit pattern forming metal plate 13 of the integrally bonded member 10 is exposed.
    Type: Application
    Filed: March 27, 2003
    Publication date: November 20, 2003
    Applicant: DOWA MINING CO., LTD.
    Inventors: Ken Iyoda, Makoto Namioka, Hideyo Osanai, Susumu Shimada
  • Patent number: 6648933
    Abstract: Powder composition and method for polishing stone. The present invention relates to a powder composition and to a method for polishing stone, in particular granite, said method making use of said powder composition.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: November 18, 2003
    Inventors: Wing Thye Lum, Whee Huat Tan
  • Patent number: 6649078
    Abstract: Method and system of forming microfluidic capillaries in a variety of substrate materials. A first layer of a material such as silicon dioxide is applied to a channel etched in substrate. A second, sacrificial layer of a material such as a polymer is deposited on the first layer. A third layer which may be of the same material as the first layer is placed on the second layer. The sacrificial layer is removed to form a smooth walled capillary in the substrate.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: November 18, 2003
    Assignee: The Regents of the University of California
    Inventor: Conrad M. Yu
  • Patent number: 6638895
    Abstract: A method of fabricating high aspect ratio ceramic structures in which a selected portion of perovskite or perovskite-like crystalline material is exposed to a high energy ion beam for a time sufficient to cause the crystalline material contacted by the ion beam to have substantially parallel columnar defects. Then selected portions of the material having substantially parallel columnar defects are etched leaving material with and without substantially parallel columnar defects in a predetermined shape having high aspect ratios of not less than 2 to 1. Etching is accomplished by optical or PMMA lithography. There is also disclosed a structure of a ceramic which is superconducting at a temperature in the range of from about 10° K. to about 90° K. with substantially parallel columnar defects in which the smallest lateral dimension of the structure is less than about 5 microns, and the thickness of the structure is greater than 2 times the smallest lateral dimension of the structure.
    Type: Grant
    Filed: October 25, 2000
    Date of Patent: October 28, 2003
    Assignee: The University of Chicago
    Inventors: Goran T. Karapetrov, Wai-Kwong Kwok, George W. Crabtree, Maria Iavarone
  • Patent number: 6638365
    Abstract: A method of preparing a silicon surface for a subsequent processing said such as thermal oxidation, or metal silicide formation, via use of a novel wet chemical clean procedure, has been developed. The novel wet chemical clean procedure is comprised of three specific stages, with the first stage featuring the removal of organic contaminants and the growth of a native oxide layer on the silicon surface. A second stage features removal of the native oxide layer and removal of metallic contaminants from the silicon surface, while the third stage is used to dry the silicon surface. The novel wet chemical clean procedure is performed in less time, and using less chemicals, then counterpart wet chemical cleans also used for the preparation of silicon surfaces for subsequent processing steps.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: October 28, 2003
    Assignees: Chartered Semiconductor Manufacturing Ltd., Institute of Materials Research and Engineering
    Inventors: Jianhui Ye, Simon Chooi, Alex See
  • Patent number: 6620216
    Abstract: A polishing composition comprising an abrasive having an average primary particle size of 200 nm or less, an oxidizing agent, an acid having a pK1 of 2 or less and/or a salt thereof, and water, wherein the acid value (Y) of the polishing composition is 20 mg KOH/g or less and 0.2 mg KOH/g or more; a process for reducing fine scratches of a substrate, comprising polishing a substrate to be polished with the above-mentioned polishing composition; and a method for manufacturing a substrate, comprising polishing a substrate to be polished with the above-mentioned polishing composition. The polishing composition can be suitably used for final polishing memory hard disk substrates and polishing semiconductor elements.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: September 16, 2003
    Assignee: Kao Corporation
    Inventors: Yoshiaki Oshima, Toshiya Hagihara
  • Publication number: 20030121891
    Abstract: A method for removing at least a portion of a structure, such as a layer, film, or deposit, including ruthenium metal and/or ruthenium dioxide includes contacting the structure with a material including ceric ammonium nitrate. A material for removing ruthenium metal and amorphous ruthenium dioxide includes ceric ammonium nitrate and may be in the form of an aqueous solution including ceric ammonium nitrate and optionally, other solid or liquid solutes providing desired properties. In one application, the method and material may be utilized to etch, shape, or pattern layers or films of ruthenium metal and/or ruthenium dioxide in the fabrication of semiconductor systems and their elements, components, and devices, such as wires, electrical contacts, word lines, bit lines, interconnects, vias, electrodes, capacitors, transistors, diodes, and memory devices.
    Type: Application
    Filed: December 18, 2002
    Publication date: July 3, 2003
    Inventor: Donald L. Westmoreland
  • Patent number: 6565765
    Abstract: In a method for manufacturing a sensor having a membrane, a silicon nitride layer is deposited on the upper side of a silicon substrate. For that, an LPCVD or PECVD process is used. From the lower side of the silicon substrate, an opening is etched in which ends at the lower side of the silicon nitride layer.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: May 20, 2003
    Assignee: Robert Bosch GmbH
    Inventor: Heribert Weber
  • Publication number: 20030085194
    Abstract: A method for fabricating close spaced mirror arrays on a semiconductor crystal substrate using a microelectro mechanical system (MEMS) technique where it is desired to form octagon or circular membranes in which the mirrors may be fabricated and steered for optical N×N switching. The method uses a 100 crystal plane substrate having a perpendicular 110 crystal plane. An etching mask with a layout of individual cross arms and a centered diamond is arranged with respect to their centers in a double triangle arrangement with the lines connecting the centers aligned at a 45 degree angle to the 110 crystal plane. This results in an almost double array density.
    Type: Application
    Filed: November 7, 2001
    Publication date: May 8, 2003
    Inventor: Dean A. Hopkins
  • Patent number: 6537839
    Abstract: A nitride semiconductor light emitting device having preferable light emitting characteristics even if dense threading dislocations extend through single crystal layers. The nitride semiconductor light emitting device includes an active layer obtained by depositing group-3 nitride semiconductors, and a barrier layer disposed adjacent to the active layer and having a greater bandgap than that of the active layer, the active layer having barrier portions which surround the threading dislocations and are defined by interfaces enclosing the threading dislocation and which are made of the same material as that of the barrier layer.
    Type: Grant
    Filed: November 16, 2001
    Date of Patent: March 25, 2003
    Assignee: Pioneer Corporation
    Inventors: Hiroyuki Ota, Mitsuru Nishitsuka, Hirokazu Takahashi
  • Patent number: 6530381
    Abstract: A process for the wet-chemical surface treatment of a semiconductor wafer following a mechanical surface treatment, in particular following a mechanical surface treatment in a lapping machine, includes a sequence of treatment steps. The process essentially includes a wet-chemical surface cleaning, preferably for neutralizing and eliminating the lapping slurry, an acid etching treatment, preferably for eliminating the mechanically imposed damage and for surface smoothing and removal of metals. There is a final step of drying and rendering the cleaned and etched surface hydrophilic.
    Type: Grant
    Filed: November 2, 2000
    Date of Patent: March 11, 2003
    Assignee: Wacker Siltronic Gesellschaft Für Halbleitermaterialien AG
    Inventors: Günter Schwab, Karlheinz Langsdorf, Maximilian Stadler, Edeltraut Pichelmeier
  • Publication number: 20030005944
    Abstract: Methods are provided for producing a hydrogen-terminated silicon wafer surface with high stability against oxidation. The silicon wafer is cleaned with ammonium hydroxide/hydrogen peroxide/water, etched with high purity, heated dilute hydrofluoric acid, rinsed in-situ with ultrapure water at room temperature, and is spin-dried with heat ionized purge gas. The stability of the surface of the silicon wafer is assured by optimizing to minimize particle addition at each step. The silicon wafer produced by the method is stable in a normal clean room environment for greater than 3 days and has been demonstrated to last without significant oxide regrowth for greater than 7 days.
    Type: Application
    Filed: March 26, 2001
    Publication date: January 9, 2003
    Inventors: Robert H. Pagliaro, Mitchell L. Doty, Diane M. King