Of Specified Configuration Patents (Class 257/773)
  • Patent number: 9972567
    Abstract: A multilayer substrate includes a flexible element assembly including a principal surface, a first to an n-th external electrode disposed on the principal surface, and at least one first dummy conductor disposed inside the element assembly in a floating state. When the element assembly is viewed from a normal direction of the principal surface, a distance between an m-th external electrode and a nearest external electrode therefrom among the first to the n-th external electrodes is defined as a distance Dm, an average of distances D1 to Dn is defined as an average Dave, and an area within a circle having a center on the m-th external electrode and having a radius of Dm is defined as an area Am. The first dummy conductor is located in at least one area Am having a radius of Dm greater than the average Dave when viewed from the normal direction.
    Type: Grant
    Filed: June 8, 2017
    Date of Patent: May 15, 2018
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Kuniaki Yosui, Keisuke Ikeno
  • Patent number: 9966340
    Abstract: The present invention provides a flexible substrate for packaging and a package. The flexible substrate for packaging includes a bendable region provided in a central region of the flexible substrate; chips provided at both sides of the bendable region and at both ends of the flexible substrate, respectively; and a wire provided to be connected between the chips and to pass through the bendable region. A portion of the wire corresponding to the bendable region is provided with an anti-stress structure, and the anti-stress structure is configured to release a tensile resistance and a compressive resistance when the bendable region is bent.
    Type: Grant
    Filed: February 16, 2016
    Date of Patent: May 8, 2018
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Bo Zhang, Wenbo Li
  • Patent number: 9966872
    Abstract: The invention is directed to a voltage rectifier (23) comprising at least two diode arrays (33, 34, 35, 36) each comprising plural diodes (33a, 33b, 33p, 34a, 34b, 35a, 35b, 35p, 36a, 36b, 36c, 36d, 36p) connected in series. The diode arrays are arranged in an enclosure (47). The diode arrays are arranged in a special arrangement for providing an even distribution of a field strength. According to an embodiment and with respect to the figures, the vertical distance between an enclosure (47) and the diode arrays (33, 34, 35, 36) increases when horizontally distancing from the direct current terminals. Further, the invention provides a voltage generator (21) and a voltage rectifier (23) having such a voltage rectifier.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: May 8, 2018
    Inventors: Peter Luerkens, Christoph Loef
  • Patent number: 9966337
    Abstract: A wafer is provided. The wafer includes a dielectric layer, first and second metallization layer interconnects arrayed across the dielectric layer with the second metallization layer interconnects adjacent one another and surrounded by the first metallization layer interconnects and a cap. The first and second metallization layer interconnects have respective upper surfaces defining a first plane and a second plane recessed from the first plane, respectively. The cap is disposed on exposed surfaces of the second metallization layer interconnects and portions of the dielectric layer adjacent to the second metallization layer interconnects.
    Type: Grant
    Filed: March 15, 2017
    Date of Patent: May 8, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Benjamin D. Briggs, Lawrence A. Clevenger, Huai Huang, Christopher J. Penny, Michael Rizzolo, Hosadurga K. Shobha
  • Patent number: 9960136
    Abstract: A semiconductor device includes a first circuit layer, a copper pillar disposed adjacent to the first circuit layer, a second circuit layer and a solder layer. The second circuit layer includes an electrical contact and a surface finish layer disposed on the electrical contact, wherein a material of the surface finish layer is a combination of at least two of nickel, gold, and palladium. The solder layer is disposed between the copper pillar and the surface finish layer. The solder layer includes a first intermetallic compound (IMC) and a second IMC, wherein the first IMC includes a combination of two or more of copper, nickel and tin, and the second IMC includes a combination of gold and tin, a combination of palladium and tin, or both.
    Type: Grant
    Filed: August 17, 2016
    Date of Patent: May 1, 2018
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Yu-Hsiang Hsiao, Chiu-Wen Lee, Ping-Feng Yang, Kwang-Lung Lin
  • Patent number: 9960185
    Abstract: A base and a manufacturing method thereof and a display device are provided, so that a problem of faultage of an insulating layer when forming the insulating layer on an aluminum electrode of a substrate is solved. The base includes an aluminum electrode in a first setting pattern on a substrate, and an aluminum oxide layer or an aluminum nitride layer (3) in a second setting pattern provided in a same layer with the aluminum electrode. The first setting pattern and the second setting pattern are complementary to each other.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: May 1, 2018
    Assignee: BOE Technology Group Co., Ltd.
    Inventors: Xiangyong Kong, Fengjuan Liu
  • Patent number: 9960118
    Abstract: An opening is formed within a substrate made of a silicon material, and a cleaning process is performed; after which, the bottom and walls of the opening are contaminated with oxygen and fluorine particles. A lower blocking layer is formed within the opening, and the lower blocking layer contacts the bottom and walls of the opening. Also, a middle liner layer is formed within the opening, and the middle liner layer contacts the lower blocking layer. Additionally, an upper blocking layer is formed within the opening, and the upper blocking layer contacts the middle liner layer. Further, a conductor layer is formed within the opening, and the conductor layer contacts the upper blocking layer. The lower blocking layer prevents the fluorine particles from affecting the other layers.
    Type: Grant
    Filed: January 20, 2016
    Date of Patent: May 1, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Donghun Kang, Neal A. Makela, Christopher C. Parks
  • Patent number: 9947610
    Abstract: A semiconductor structure includes a semiconductor substrate, a dielectric layer, a buffer layer, at least one recess, and at least one conductor. The dielectric layer is present on the semiconductor substrate. The buffer layer is present between the semiconductor substrate and the dielectric layer. The recess extends into the semiconductor substrate through the dielectric layer and the buffer layer, in which the buffer layer has a removing rate with respect to an etching process for forming the recess. The removing rate of the buffer layer is between those of the semiconductor substrate and the dielectric layer. The conductor is present in the recess.
    Type: Grant
    Filed: January 28, 2016
    Date of Patent: April 17, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shiang-Chin Lu, Chien-Chih Wu, Jer-Shien Yang, Hung-Wen Chen
  • Patent number: 9941190
    Abstract: Semiconductor devices having a through-silicon-via and methods of forming the same are described herein. As an example, a semiconductor device may include a substrate material, a through-silicon-via protrusion extending from the substrate material, a first dielectric material formed on the substrate material, a second dielectric material formed on the first dielectric material, and an interconnect formed on the through-silicon-via protrusion, where the interconnect formed is in an opening in the second dielectric material.
    Type: Grant
    Filed: April 3, 2015
    Date of Patent: April 10, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Jaspreet S. Gandhi, Wayne H. Huang
  • Patent number: 9941249
    Abstract: A stacked semiconductor device and a method of forming the stacked semiconductor device are provided. A plurality of integrated circuits are bonded to one another to form the stacked semiconductor device. After each bonding step to bond an additional integrated circuit to a stacked semiconductor device formed at the previous bonding step, a plurality of conductive plugs are formed to electrically interconnect the additional integrated circuit to the stacked semiconductor device formed at the previous bonding step.
    Type: Grant
    Filed: September 6, 2016
    Date of Patent: April 10, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shu-Ting Tsai, Szu-Ying Chen, Jeng-Shyan Lin, Tzu-Hsuan Hsu, Feng-Chi Hung, Dun-Nian Yaung
  • Patent number: 9941236
    Abstract: To prevent cracks of an interlayer insulation film at the time of wire bonding while maintaining adhesion of an aluminum pad electrode and the interlayer insulation film in a semiconductor device in which the aluminum pad electrode and a lead frame are connected with bonding wire by a ball bonding technology. In a bonding pad that is configured to have multiple pad electrodes each with two or more layers, the pad electrodes being electrically connected with one another through vias, the vias are not arranged under an area to which a capillary end of a wire bonder contacts at the time of the wire bonding.
    Type: Grant
    Filed: August 24, 2016
    Date of Patent: April 10, 2018
    Assignee: Renesas Electronics Corporation
    Inventor: Tadahiro Miwatashi
  • Patent number: 9935100
    Abstract: In certain aspects, a semiconductor die includes a power rail, a first gate, and a second gate. The semiconductor die also includes a first gate contact electrically coupled to the first gate, wherein the first gate contact is formed from a first middle of line (MOL) metal layer, and a second gate contact electrically coupled to the second gate, wherein the second gate contact is formed from the first MOL metal layer. The semiconductor die further includes an interconnect formed from a second MOL metal layer, wherein the interconnect is electrically coupled to the first and second gate contacts, and at least a portion of the interconnect is underneath the power rail.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: April 3, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Hyeokjin Bruce Lim, Zhengyu Duan, Qi Ye, Mickael Malabry
  • Patent number: 9929126
    Abstract: A package includes a corner, a device die, a plurality of redistribution lines underlying the device die, and a plurality of metal pads electrically coupled to the plurality of redistribution lines. The plurality of metal pads includes a corner metal pad closest to the corner, wherein the corner metal pad is a center-facing pad having a bird-beak direction substantially pointing to a center of the package. The plurality of metal pads further includes a metal pad farther away from the corner than the corner metal pad, wherein the metal pad is a non-center-facing pad having a bird-beak direction pointing away from the center of the package.
    Type: Grant
    Filed: April 3, 2014
    Date of Patent: March 27, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Shin-Puu Jeng, Der-Chyang Yeh, Hsien-Wei Chen, Jie Chen
  • Patent number: 9929109
    Abstract: Some embodiments of the present disclosure relate to a three dimensional integrated circuit (3DIC) structure. The 3DIC structure has a first die and a second die that is bonded to the first die by one or more bonding structures. The one or more bonding structures respectively have a first metal pad arranged on the first die and a second metal pad arranged on the second die. A first plurality of support structures are disposed between the first die and the second die. The first plurality of support structures include polymers and are laterally spaced apart from a closest one of the one or more bonding structures. The first plurality of support structures extend below an upper surface of the second metal pad.
    Type: Grant
    Filed: December 23, 2016
    Date of Patent: March 27, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Wei Wu, Ying-Ching Shih, Szu-Wei Lu, Jing-Cheng Lin
  • Patent number: 9922929
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to self aligned interconnect structures and methods of manufacture. The structure includes an interconnect structure which is self-aligned with an upper level via metallization, and both the interconnect structure and the upper level via metallization are composed of a Pt group material.
    Type: Grant
    Filed: November 29, 2016
    Date of Patent: March 20, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xunyuan Zhang, Roderick A. Augur, Hoon Kim
  • Patent number: 9911787
    Abstract: A semiconductor device includes an active region defining an isolation region. First and second cell interconnection structures are on the active region and the isolation region, and have line shapes that are parallel to each other. An isolation pattern is on the active region and the isolation region. The isolation pattern is between the first and second cell interconnection structures. Contact structures are between the first and second cell interconnection structures. The contact structures are at both sides of the isolation pattern and overlap the active region. Insulating patterns are between the first and second cell interconnection structures. The insulating patterns are at both sides of the isolation pattern and overlap the isolation region. Common source regions are under the first and second cell interconnection structures. The common source regions are in the active region. An isolating gate pattern that has a line shape is under the isolation pattern.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: March 6, 2018
    Assignee: Samsung Electronics Co, Ltd.
    Inventors: Kiseok Suh, Gwanhyeob Koh, Yoonjong Song
  • Patent number: 9911699
    Abstract: A graphic data of a first wiring in a first area of a semiconductor wafer may be extracted, which may correspond to a semiconductor chip forming area. The first area may be surrounded by a scribed area of the semiconductor wafer. The first area includes a second area bounded with the scribed area. The second area has a second distance from a boundary between the semiconductor chip forming area and the scribed area to an boundary between the first area and the second area. A first dummy pattern in the first area is laid out to have at least a first distance from the first wiring. A second dummy pattern in the second area is laid out to have at least the first distance from the first wiring and at least a third distance from the first dummy pattern.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: March 6, 2018
    Assignee: Longitude Semiconductor S.a.r.l.
    Inventors: Michio Inoue, Yorio Takada
  • Patent number: 9899254
    Abstract: Array contacts for semiconductor memories may be formed using a first set of parallel stripe masks and subsequently a second set of parallel stripe masks transverse to the first set. For example, one set of masks may be utilized to etch a dielectric layer, to form parallel spaced trenches. Then the trenches may be filled with a sacrificial material. That sacrificial material may then be masked transversely to its length and etched, for example. The resulting openings may be filled with a metal to form array contacts.
    Type: Grant
    Filed: March 28, 2017
    Date of Patent: February 20, 2018
    Assignee: Micron Technology, Inc.
    Inventors: Roberto Somaschini, Alessandro Vaccaro, Paolo Tessariol, Giulio Albini
  • Patent number: 9899323
    Abstract: An integrated circuit device includes a first conductive line and a second conductive line that are spaced apart from each other and extend in a first direction to be parallel to each other; and a contact pad including a pad body including a first branch portion from which the first conductive line branches and a second branch portion from which the second conductive line branches and a loop branch portion that is located between the first branch portion and the second branch portion and protrudes from the pad body. Related devices and fabrication methods are also discussed.
    Type: Grant
    Filed: December 13, 2016
    Date of Patent: February 20, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho-jun Seong, Jae-hwang Sim
  • Patent number: 9893063
    Abstract: Methods for abutting two cells with different sized diffusion regions and the resulting devices are provided. Embodiments include abutting a first cell having first drain and source diffusion regions and a second cell having second drain and source diffusion regions, larger than the first diffusion regions, by: forming a dummy gate at a boundary between the two cells; forming a continuous drain diffusion region having an upper portion crossing the dummy gate and encompassing the entire first drain diffusion region and part of the second drain diffusion region and having a lower portion beginning over the dummy gate and encompassing a remainder of the second drain diffusion region; forming a continuous source diffusion region that is the mirror image of the continuous drain diffusion region; and forming a poly-cut mask over the dummy gate between, but separated from, the continuous drain and source diffusion regions.
    Type: Grant
    Filed: January 31, 2017
    Date of Patent: February 13, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Navneet Jain, Juhan Kim, Andy Nguyen, Mahbub Rashed
  • Patent number: 9869911
    Abstract: A display apparatus includes a display panel and a display panel driver. The display panel includes a first substrate and a second substrate facing the first substrate, the first substrate including a switching element and a pixel electrode disposed thereon, the pixel electrode being electrically connected to the switching element. The display panel driver is configured to apply a driving signal to the display panel. The display panel driver includes a first flexible substrate on which a driving chip is mounted, wherein the first flexible substrate is electrically connected to the display panel, and a second flexible substrate electrically connected to the first flexible substrate, wherein the second flexible substrate is disposed on a surface of the first flexible substrate.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: January 16, 2018
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jeongjin Park, Seongsik Choi, Inae Park
  • Patent number: 9847288
    Abstract: A semiconductor device includes a signal transmission line extending in a first direction; an outer protective line extending in a substantially identical direction as the first direction and spaced apart from the signal transmission line by a predetermined distance along a second direction which is substantially perpendicular to the first direction; and an inner protective line, disposed between the outer protective line and the signal transmission line, and intermittently extending substantially in parallel with said signal transmission line and outer protective line.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: December 19, 2017
    Assignee: SK Hynix Inc.
    Inventors: Young Hee Yoon, Bum Su Kim, Yung Bog Yoon
  • Patent number: 9847376
    Abstract: An electronic device may be provided to include: first and second active regions arranged adjacent to each other in a second direction; a gate structure extended in the second direction; a first source region and a first drain region formed in the first active region; a second source region and a second drain region formed in the second active region; a source line contact formed over the first and second source regions and connected to the first and second source regions; a source line connected to the source line contact over the source line contact and extended in a first direction; first and second stacked structures formed over the first and second drain regions; and first and second bit lines formed over the first and second stacked structures, wherein the first and second bit lines are extended in the first direction.
    Type: Grant
    Filed: October 20, 2015
    Date of Patent: December 19, 2017
    Assignee: SK hynix Inc.
    Inventors: Jae-Yun Yi, Dong-Joon Kim
  • Patent number: 9837490
    Abstract: A semiconductor device may include: a substrate having first and second surfaces; an interlayer dielectric layer having a first opening to expose the first surface; a first plug positioned in the first opening and isolated from a sidewall of the first opening by a pair of gaps; a bit line extended in any one direction while covering the first plug; a second plug including a lower part adjacent to the first plug and an upper part adjacent to the bit line, and connected to the second surface; a first air gap positioned between the first plug and the lower part of the second plug; and a second air gap positioned between the bit line and the upper part of the second plug, and having a larger width than the first air gap.
    Type: Grant
    Filed: January 13, 2016
    Date of Patent: December 5, 2017
    Assignee: SK Hynix Inc.
    Inventors: Hae-Jung Park, Jung-Taik Cheong, Tae-Woo Jung, Yun-Je Choi
  • Patent number: 9839128
    Abstract: An apparatus includes a printed circuit board. The printed circuit board includes at least one conductive layer on top a first dielectric layer, wherein the at least one conductive layer comprises at least one of a ground plane and a power plane. The printed circuit board includes a second dielectric layer on top of the at least one conductive layer. The printed circuit board includes a thermal pad on top of the second dielectric layer. The printed circuit board is fabricated by forming at least one plated through hole for electrically coupling the thermal pad to the at least one conductive layer. The printed circuit board is fabricated by backdrilling the at least one plated through hole to remove a portion of the conductive material, wherein subsequent to the backdrilling the conductive material remaining in the at least one plated through hole electrically couples one or more of the at least one conductive layer to the thermal pad.
    Type: Grant
    Filed: July 27, 2016
    Date of Patent: December 5, 2017
    Assignee: International Business Machines Corporation
    Inventor: Phillip D. Isaacs
  • Patent number: 9831216
    Abstract: The present disclosure discloses a chip packaging module, including: a first chip, where a first pad is disposed on a side neighboring to a front surface of the first chip; at least a second chip, where at least one second chip is disposed on a rear side of the first chip, each second chip has a second pad, and wherein the first pad of the first chip is connected to the second pad of the second chip via a redistribution layer. According to the chip packaging module in the present disclosure, a second chip is disposed on a rear side of a first chip, and a first pad is connected to a second pad via a redistribution layer. By means of a redistribution technology on surfaces of multiple chips, a lead of a pad on a front surface of a fingerprint recognition chip is masterly winded to the back for interconnection, so that an induction area on the front surface of the chip can fully contact with a human body.
    Type: Grant
    Filed: December 7, 2016
    Date of Patent: November 28, 2017
    Assignee: Shenzhen Goodix Technology Co., Ltd.
    Inventors: Baoquan Wu, Wei Long
  • Patent number: 9831121
    Abstract: According to an embodiment, a semiconductor memory device includes a plurality of first conductive layers disposed above a substrate in a laminating direction. A stepped wiring area includes a second conductive layer electrically connected to the first conductive layer. The second conductive layer has an end portion as a contact connection portion. A contact plug is connected to the contact connection portion. The contact plug extends in the laminating direction. The contact plug includes a first member and a second member. The first member extends in the laminating direction. The second member extends in a direction intersecting with the laminating direction inside the contact connection portion.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: November 28, 2017
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Takamasa Okawa, Shigeki Kobayashi, Kei Sakamoto, Ryosuke Sawabe
  • Patent number: 9831166
    Abstract: Even when a stiffener is omitted, the semiconductor device which can prevent the generation of twist and distortion of a wiring substrate is obtained. As for a semiconductor device which has a wiring substrate, a semiconductor chip by which the flip chip bond was made to the wiring substrate, and a heat spreader adhered to the back surface of the semiconductor chip, and which omitted the stiffener for reinforcing a wiring substrate and maintaining the surface smoothness of a heat spreader, a wiring substrate has a plurality of insulating substrates in which a through hole whose diameter differs, respectively was formed, and each insulating substrate contains a glass cloth.
    Type: Grant
    Filed: January 4, 2017
    Date of Patent: November 28, 2017
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Eiji Hayashi, Kyo Go, Kozo Harada, Shinji Baba
  • Patent number: 9831214
    Abstract: Semiconductor device packages, packaging methods, and packaged semiconductor devices are disclosed. In some embodiments, a package for a semiconductor device includes through-vias, an integrated circuit die mounting region, and a material disposed around and between the through-vias and the integrated circuit die mounting region. An interconnect structure is disposed over the material, the through-vias, and the integrated circuit die mounting region. The interconnect structure includes a dummy feature disposed proximate one of the through-vias.
    Type: Grant
    Filed: June 18, 2014
    Date of Patent: November 28, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jie Chen, Hsien-Wei Chen
  • Patent number: 9824901
    Abstract: Molded electronics package cavities are formed by placing a sacrificial material in the mold and then decomposing, washing, or etching away this sacrificial material. The electronics package that includes this sacrificial material is then overmolded, with little or no change needed in the overmolding process. Following overmolding, the sacrificial material is removed such as using a thermal, chemical, optical, or other decomposing process. This proposed use of sacrificial material allows for formation of complex 3-D cavities, and reduces or eliminates the need for precise material removal tolerances. Multiple instances of the sacrificial material may be removed simultaneously, replacing a serial drilling process with a parallel material removal manufacturing process.
    Type: Grant
    Filed: March 30, 2016
    Date of Patent: November 21, 2017
    Assignee: Intel Corporation
    Inventors: Sasha Oster, Adel A. Elsherbini, Joshua D. Heppner, Shawna M. Liff
  • Patent number: 9818666
    Abstract: A semiconductor device structure and a method of fabricating the same are provided. The semiconductor device structure includes a gate structure embedded in a first dielectric layer over a substrate and a second dielectric layer formed over the first dielectric layer. The semiconductor device structure includes a conductive feature formed in the second dielectric layer over the gate structure and a first structure formed at least two sides of the conductive feature in the second dielectric layer. The first dielectric layer is made of a compressive material and the first structure is made of a tensile material or wherein the first dielectric layer is made of a compressive material and the first structure is made of a tensile material.
    Type: Grant
    Filed: October 17, 2016
    Date of Patent: November 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Ruei Lin, Yen-Ming Peng, Han-Wei Yang, Chen-Chung Lai
  • Patent number: 9814166
    Abstract: A method of manufacturing electronic package module is provided. The method provides selective molding by attaching tapes on the circuit substrate on which electric components are mounted thereon, forming molding compound to cover the circuit substrate, and removing tapes along with the molding compound thereon.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: November 7, 2017
    Assignee: UNIVERSAL SCIENTIFIC INDUSTRIAL (SHANGHAI) CO., LTD.
    Inventors: Jen-Chun Chen, Tsung-Jung Cheng, Chia-Cheng Liu
  • Patent number: 9812356
    Abstract: A method for manufacturing a semiconductor device includes generating a layout including a first conductive pattern region and a second conductive pattern region. A first interlayer insulating film is formed on a substrate, the first interlayer insulating film including a first region corresponding to the first conductive pattern region, a second region corresponding to the second conductive pattern region, and a third region spaced apart from the first and second regions and disposed between the first and second regions. First, second and third lower metal wirings are formed to respectively fill the first, second and third recesses of the first interlayer insulating film. A second interlayer insulating film is formed on the first interlayer insulating film. A first dummy via hole is formed in the second interlayer insulating film to expose the third lower metal wiring. The third lower metal wiring is electrically isolated.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: November 7, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung Wook Hwang, Jong Hyun Lee, Jae Seok Yang, In Wook Oh, Hyun Jae Lee
  • Patent number: 9806013
    Abstract: A multilayer structure for a semiconductor device and a method of forming a multilayer structure for a semiconductor device. The multilayer structure comprises: a substrate having an electrically conductive portion thereon; a dielectric layer formed over the substrate; the dielectric layer comprising an opening over at least part of the electrically conductive portion; and a conductive pillar formed on the at least part of the electrically conductive portion; wherein the conductive pillar comprises walls defined by at least the opening of the dielectric layer and an opening of a patterned layer.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: October 31, 2017
    Assignee: Institute of Technical Education
    Inventors: Teck Kheng Lee, Bok Leng Ser
  • Patent number: 9793159
    Abstract: Previous layer self-aligned via and plug patterning for back end of line (BEOL) interconnects are described. In an example, an interconnect structure for an integrated circuit includes a first layer disposed above a substrate. The first layer of the interconnect structure includes a grating of alternating metal lines and dielectric lines in a first direction. A second layer of the interconnect structure is disposed above the first layer. The second layer includes a grating of alternating metal lines and dielectric lines in a second direction, perpendicular to the first direction. Each metal line of the grating of the second layer is disposed on a recessed dielectric line composed of alternating distinct regions of a first dielectric material and a second dielectric material corresponding to the alternating metal lines and dielectric lines of the first layer of the interconnect structure.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: October 17, 2017
    Assignee: Intel Corporation
    Inventors: Charles H. Wallace, Paul A. Nyhus, Elliot N. Tan, Swaminathan Sivakumar
  • Patent number: 9786586
    Abstract: A semiconductor package includes an interconnect component surrounded by a molding compound. The interconnect component comprises a first RDL structure. A second RDL structure is disposed on the interconnect component. A plurality of first connecting elements is disposed on the second RDL structure. A polish stop layer covers a surface of the interconnect component. A plurality of second connecting elements is disposed on and in the polish stop layer. At least one semiconductor die is mounted on the second connecting elements.
    Type: Grant
    Filed: August 21, 2016
    Date of Patent: October 10, 2017
    Assignee: Micron Technology, Inc.
    Inventor: Shing-Yih Shih
  • Patent number: 9785740
    Abstract: A computer implemented system and method is provided for modifying a layout of one or more standard cells defining a circuit component, the layout providing a layout pattern for a process technology. The method comprises receiving, after completion of one or more initial place and route operations, an input data file that includes the layout pattern of the layout. The layout includes the one or more standard cells and placement and routing information generated by the one or more initial place and route operations. The method further comprises identifying one or more metal portions associated with one or more rails of the one or more standard cells of the layout. A metal fill operation is then performed using the input data file in order to generate a modified input data file. The metal fill operation includes modifying the one or more metal portions with one or more metal fill patterns to form a reduced resistive path associated with the one or more metal portions.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: October 10, 2017
    Assignee: ARM Limited
    Inventor: Ivan Michael Lowe
  • Patent number: 9780031
    Abstract: Wiring structures with dummy metal features and methods of manufacture are disclosed. A structure includes a metal wiring structure, and dummy metal features in electrical and direct physical contact with the metal wiring structure in a same plane as the metal wiring structure. The dummy metal features do not change a resistance of the metal wiring structure and are remote from other structures.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: October 3, 2017
    Assignee: GLOBALFOUDRIES INC.
    Inventors: Fen Chen, Cathryn J. Christiansen, Roger A. Dufresne, Charles W. Griffin
  • Patent number: 9780095
    Abstract: A method for forming patterns includes forming an etch target layer; etching the etch target layer to form a pre-pattern having a line forming portion and a plurality of pad portions; forming a plurality of spacers which extend across the pad portions and the line forming portion; forming, over the spacers, a blocking layer having an opening which blocks the pad portions and exposes the line forming portion; and etching the line forming portion by using the blocking layer and the spacers as a barrier, to form a plurality of line portions.
    Type: Grant
    Filed: July 7, 2016
    Date of Patent: October 3, 2017
    Assignee: SK Hynix Inc.
    Inventors: Jae-Houb Chun, Jeong-Sub Lim
  • Patent number: 9768031
    Abstract: Semiconductor device manufacturing methods are disclosed. In some embodiments, a method of manufacturing a semiconductor device includes forming a first pattern in a hard mask using a first lithography process, and forming a second pattern in the hard mask using a second lithography process. A protective layer is formed over the hard mask. Portions of the hard mask and portions of the protective layer are altered using a self-aligned double patterning (SADP) method.
    Type: Grant
    Filed: July 31, 2015
    Date of Patent: September 19, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Min Huang, Chung-Ju Lee, Cheng-Hsiung Tsai
  • Patent number: 9768028
    Abstract: Disclosed are methods that employ a mask with openings arranged in a pattern of elongated trenches and holes of varying widths to achieve a linearly graded conductivity level. These methods can be used to form a lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a drain drift region having an appropriate type conductivity at a level that increases essentially linearly from the body region to the drain region. Furthermore, these methods also provide for improve manufacturability in that multiple instances of this same pattern can be used during a single dopant implant process to implant a first dopant with a first type (e.g., N-type) conductivity into the drain drift regions of both first and second type LDMOSFETs (e.g., N and P-type LDMOSFETs, respectively). In this case, the drain drift region of a second type LDMOSFET can subsequently be uniformly counter-doped. Also disclosed are the resulting semiconductor structures.
    Type: Grant
    Filed: August 10, 2016
    Date of Patent: September 19, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Natalie B. Feilchenfeld, Michael J. Zierak, Theodore J. Letavic, Yun Shi, Santosh Sharma
  • Patent number: 9768221
    Abstract: A semiconductor device including a light sensing region disposed on a substrate is provided that includes a bond structure having one or more patterned layers underlying the pad element. The pad element may be coupled to the light sensing region and may be formed in a first metal layer disposed on the substrate. A second metal layer of the device has a first bond region, a region of the second metal layer that underlies the pad element. This first bond region of the second metal layer includes a pattern of a plurality of conductive lines interposed by dielectric. A via connects the pad element and the second metal layer.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: September 19, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shang-Yen Wu, I-Chih Chen, Yi-Sheng Liu, Volume Chien, Fu-Tsun Tsai, Chi-Cherng Jeng, Ying-Hao Chen
  • Patent number: 9762140
    Abstract: A semiconductor device includes a semiconductor chip, a metal member, and a terminal. The semiconductor chip has an electrode. The metal member is electrically connected to the electrode. The terminal extends from the metal member to be connected to an external connection member. The terminal has a width-increased portion in a predetermined area beginning from a first end of the terminal that connects to the metal member.
    Type: Grant
    Filed: May 9, 2016
    Date of Patent: September 12, 2017
    Assignee: DENSO CORPORATION
    Inventor: Daisuke Fukuoka
  • Patent number: 9754704
    Abstract: A method of making a thin-film multi-layer micro-wire structure includes providing a substrate and a layer on the substrate with one or more micro-channels having a width less than or equal to 20 microns. A conductive material including silver nano-particles and having a percent ratio of silver that is greater than or equal to 40% by weight is located in the micro-channels and cured to form an electrically conductive micro-wire. The electrically conductive micro-wire has a width less than or equal to 20 microns and a depth less than or equal to 20 microns. Each micro-wire is electrolessly plated to form a plated layer located at least partially within each micro-channel between the micro-wire and the layer surface in electrical contact with the micro-wire. The plated layer has a thickness less than a thickness of the micro-wire so that the micro-wire and plated layer form the thin-film multi-layer micro-wire.
    Type: Grant
    Filed: April 29, 2014
    Date of Patent: September 5, 2017
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Roger G. Markham, Ronald Steven Cok, Yongcai Wang, Mitchell Lawrence Wright
  • Patent number: 9754851
    Abstract: An electronic device includes a support plate having a mounting face and an electrical connection network. An integrated circuit chip is mounted on the mounting face and linked to the electrical connection network. An en encapsulation block embeds the integrated circuit chip. An additional element made of an electrically conductive material is at least partly embedded within the encapsulation block. The additional conductive element has a main portion extending parallel to the support plate and has a secondary portion that is linked electrically to the integrated circuit chip. An opening is formed in the encapsulation block, and the secondary portion extends into that opening to make the electrical link. The additional conductive element may be an antenna.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: September 5, 2017
    Assignees: STMicroelectronics (Grenoble 2) SAS, STMicroelectronics (Alps) SAS
    Inventors: David Auchere, Laurent Marechal, Yvon Imbs, Laurent Schwarz
  • Patent number: 9754946
    Abstract: A method of forming an elevationally extending conductor laterally between a pair of conductive lines comprises forming a pair of conductive lines spaced from one another in at least one vertical cross-section. Conductor material is formed to elevationally extend laterally between and cross elevationally over the pair of conductive lines in the at least one vertical cross-section. Sacrificial material is laterally between the elevationally extending conductor material and each of the conductive lines of the pair in the at least one vertical cross-section. The sacrificial material is removed from between the elevationally extending conductor material and each of the conductive lines of the pair while the conductor material is crossing elevationally over the pair of conductive lines to form a void space laterally between the elevationally extending conductor material and each of the conductive lines of the pair in the at least one vertical cross-section.
    Type: Grant
    Filed: July 14, 2016
    Date of Patent: September 5, 2017
    Assignee: Micron Technology, Inc.
    Inventors: Guangjun Yang, Russell A. Benson, Brent Gilgen, Alex J. Schrinsky, Sanh D. Tang, Si-Woo Lee
  • Patent number: 9754789
    Abstract: Provided are method of fabricating semiconductor device and computing system for implementing the method. The method of fabricating a semiconductor device includes forming a target layer, forming a first mask on the target layer to expose a first region, subsequently forming a second mask on the target layer to expose a second region separated from the first region in a first direction, subsequently forming a third mask in the exposed first region to divide the first region into a first sub region and a second sub region separated from each other in a second direction intersecting the first direction, and etching the target layer using the first through third masks such that the first and second sub regions and the second region are defined in the target layer.
    Type: Grant
    Filed: October 8, 2014
    Date of Patent: September 5, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Hae Kim, Jong-Shik Yoon, Hwa-Sung Rhee, Byung-Sung Kim
  • Patent number: 9754819
    Abstract: A method of forming a semiconductor device includes: forming a lower trace in a lower dielectric layer; reducing a height of the lower trace a distance equal to gap height (g) to form an initial void region; filling the initial void region with an amorphous carbon layer; forming an upper dielectric layer above the amorphous carbon layer; covering the amorphous carbon layer with at least an oxide layer and a nitride layer; forming a hole in the oxide and nitride layers to expose a portion of the amorphous carbon layer; exposing the amorphous carbon layer to oxygen plasma to remove the amorphous carbon layer; sputtering a metal layer over the oxide layer and into a void created removal of the amorphous carbon layer to divide the void such that it includes an airgap; and forming an upper trace over the airgap.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: September 5, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Effendi Leobandung
  • Patent number: 9748200
    Abstract: A manufacturing method of a wafer level package structure includes the following steps. A chip is disposed on a supporting board, wherein the chip includes an active surface and a back surface opposite to the active surface, and a plurality of pads on the active surface, and the back surface of the chip is adhered to the supporting board through a die attach film (DAF). A molding is disposed on the supporting board to perform a wafer level exposed die molding procedure on the chip, wherein the molding surrounds the chip, and the pads of the chip are exposed out of the molding. A redistribution layer (RDL) is formed on the active surface of the chip, wherein the RDL is electrically connected to the pads. The supporting board and the DAF are removed from the chip.
    Type: Grant
    Filed: November 10, 2016
    Date of Patent: August 29, 2017
    Assignee: Powertech Technology Inc.
    Inventor: Chia-Hang Chang
  • Patent number: 9740035
    Abstract: There is provided a flexible display having a new wire structure and a new insulating layer structure. A flexible display includes a flexible substrate having a first area and a second area. The second area is curved in a non-zero angle relative to the plane of the first area. The flexible display further includes a plurality of wires that extend over from the first area to the second area of the flexible substrate. Each of the wires is covered by an upper insulating pattern, which is separated from other upper insulating pattern. Each upper insulating pattern covering the wire has substantially the same trace pattern shape of the corresponding wire thereunder. Accordingly, by adopting the above-described wire structure and upper insulating layer structure, crack generation and propagation in the wires and the insulating layers from bending of the flexible display can be minimized.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: August 22, 2017
    Assignee: LG Display Co., Ltd.
    Inventors: Seyeoul Kwon, Sang Hyeon Kwak, Sangcheon Youn