Alignment Marks Patents (Class 257/797)
  • Patent number: 7936570
    Abstract: The present invention provides a liquid crystal display device which can establish the reliable connection between a printed circuit board and a semiconductor device in spite of the simple constitution thereof. The liquid crystal display device includes a liquid crystal display panel, a printed circuit board arranged close to the liquid crystal display panel, and a semiconductor device arranged between the liquid crystal display panel and the printed circuit board in a striding manner. The semiconductor device includes a flexible printed circuit board and a semiconductor chip. The flexible printed circuit board includes a plurality of first terminals connected to the printed circuit board and a plurality of second terminals connected to a liquid-crystal-display-panel side.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: May 3, 2011
    Assignees: Hitachi Displays, Ltd., IPS Alpha Technology, Ltd.
    Inventors: Yuuichi Takenaka, Hiromitsu Sato, Takanori Sato, Kazumi Akiba, Yoshihiro Kazuma
  • Publication number: 20110095410
    Abstract: This document discusses, among other things, a semiconductor connector including a conductive pad in a recessed pad area on a surface of a dielectric, the dielectric material configured to be activated to conductive plating deposition using laser ablation.
    Type: Application
    Filed: October 28, 2009
    Publication date: April 28, 2011
    Applicant: Fairchild Semiconductor Corporation
    Inventor: Jocel P. Gomez
  • Publication number: 20110089581
    Abstract: A wafer including at least a first die and at least a second die, wherein the first die and the second die are separated from each other by an area located between the first die and the second die, is provided. The wafer further includes an alignment mark group used for aligning the wafer to a tool used for patterning the wafer. The alignment mark group is located entirely within the area between the first die and the second die and the alignment mark group includes a plurality of alignment lines, and wherein each line of the plurality of alignment lines is formed using a plurality of segments separated from each other by a plurality of gaps filled with an insulating material.
    Type: Application
    Filed: October 19, 2009
    Publication date: April 21, 2011
    Inventors: Victor Pol, Chong-Cheng Fu
  • Patent number: 7928586
    Abstract: The semiconductor device having a bonding pad is provided. The bonding pad enables highly reliable connection and high flexibility of the selection of the area to be bonded. The semiconductor device includes a bonding pad and an area designation marking. The bonding pad includes a first region, a second region and a third region formed between the first region and the third region. The area designation marking includes a first notch for designating a first boundary of the first region and the third region and a second notch for designation a second boundary of the second region and the third region. Any of the first region and the second region can be used as the region where the scratch formed by a probing process is to be formed.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: April 19, 2011
    Assignee: RENESAS Electronics Corporation
    Inventor: Akihito Tanabe
  • Patent number: 7927960
    Abstract: A method for registering a pattern on a semiconductor wafer with an oxide surface includes etching into the surface four sets of two trenches each. Each trench in a set is parallel to the other. The trenches are configured such that each set forms one side of a box shape. The trenches are overfilled with a first metal layer, the excess of which is removed so that the height of the metal is level with the height of the oxide. An overlay setting is then obtained between a photoresist mask and the filled trenches before depositing a second metal layer over the oxide and trenches. The second metal layer is coated with the photoresist according to the overlay setting.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: April 19, 2011
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chin Cheng Yang, Chih Hao Huang
  • Patent number: 7928591
    Abstract: The present invention relates generally to assembly techniques. According to the present invention, the alignment and probing techniques to improve the accuracy of component placement in assembly are described. More particularly, the invention includes methods and structures to detect and improve the component placement accuracy on a target platform by incorporating alignment marks on component and reference marks on target platform under various probing techniques. A set of sensors grouped in any array to form a multiple-sensor probe can detect the deviation of displaced components in assembly.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 19, 2011
    Assignee: Wintec Industries, Inc.
    Inventor: Kong-Chen Chen
  • Publication number: 20110084412
    Abstract: A solution for indexing electronic devices includes corresponding electronic device including a die integrating an electronic circuit, the die having at least one index including a reference defining an ordered alignment of a plurality of locations on the die and a marker for defining a value of the index according to an arrangement of the marker with respect to the reference. In one embodiment, the marker includes a plurality of markers each one arranged at a selected one of the locations, the selected location of the marker defining a value of a digit associated with a corresponding power of a base higher than 2 within a number in a positional notation in the base representing the value of the index.
    Type: Application
    Filed: October 8, 2010
    Publication date: April 14, 2011
    Applicant: STMicroelectronics S.r.I.
    Inventors: Emanuele Brenna, Antonio Di Franco
  • Patent number: 7923344
    Abstract: A method for fabricating a backside illuminated image sensor is provided. An exemplary method can include providing a substrate with a front surface and a back surface; forming a first alignment mark for global alignment on the front surface of the substrate; forming a second alignment mark for fine alignment in a clear-out region on the front surface of the substrate; aligning the substrate from the back surface using the first alignment mark; and removing a portion of the back surface of the substrate at the clear-out region for locating the second alignment mark.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: April 12, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Chi Fu, Gwo-Yuh Shiau, Liang-Lung Yao, Yuan-Chih Hsieh, Feng-Jia Shiu
  • Publication number: 20110074049
    Abstract: A method of manufacturing a semiconductor device answerable to refinement of circuits by correctly connecting adjacent small patterns with each other with excellent reproducibility in connective exposure and a semiconductor device manufactured by this method are proposed. According to this method of manufacturing a semiconductor device, connective exposure is performed by dividing a pattern formed on a semiconductor substrate into a plurality of patterns and exposing the plurality of divided patterns in a connective manner, by forming marks for adjusting arrangement of the patterns to be connected with each other on the semiconductor substrate before exposing patterns of a semiconductor element and connectively exposing the patterns of the semiconductor element in coincidence with the marks for adjusting arrangement.
    Type: Application
    Filed: December 10, 2010
    Publication date: March 31, 2011
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Shinroku Maejima, Seiichiro Shirai, Takahiro Machida
  • Patent number: 7916295
    Abstract: An alignment mark on a wafer is described, including at least one dense pattern and at least one block-like pattern adjacent thereto and shown as at least one dark image and at least one bright image adjacent thereto. A method of getting a position reference for a wafer is also described. An above alignment mark is formed. The alignment mark, which is shown as at least one dark image and at least one bright image adjacent thereto that are formed by the at least one dense pattern and the at least one block-like pattern, is then detected.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: March 29, 2011
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chiao-Wen Yeh, Chih-Hao Huang
  • Patent number: 7915747
    Abstract: A substrate for forming a semiconductor layer includes a plurality of linear convexes or grooves on a surface of the substrate by crystal growth. The plurality of linear convexes or grooves are formed along a direction of a cleavage plane of the semiconductor layer.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: March 29, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Keiichi Matsushita
  • Patent number: 7915141
    Abstract: The generation of an identification number of a chip supporting at least one integrated circuit, including the step of causing a cutting of at least one conductive section by cutting of the chip among several first conductive sections parallel to one another and perpendicular to at least one edge of the chip, the first sections being individually connected, by at least one of their ends, to the chip, and exhibiting different lengths, the position of the cutting line with respect to the chip edge conditioning the identification number.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: March 29, 2011
    Assignee: STMicroelectronics, SA
    Inventor: Fabrice Marinet
  • Patent number: 7910423
    Abstract: A semiconductor device includes an SOI substrate, a first STI-type isolation region, a second STI-type isolation region, and an alignment mark region. The SOI substrate includes a support substrate, an insulating layer deposited on the support substrate, and a semiconductor layer which includes a thin film region and a thick film region. The thin film region includes a first semiconductor layer deposited on the support substrate, and the thick film region includes the first semiconductor layer and a second semiconductor layer deposited on a part of the first semiconductor layer. The first STI-type isolation region is disposed at the thin film region. The second STI-type isolation region is disposed at the thick film region. The alignment mark region is disposed at the thick film region. An alignment mark to be used for alignment of the second STI-type isolation region is disposed at the alignment mark region.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: March 22, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Shinji Ohara
  • Patent number: 7906430
    Abstract: A peeling prevention layer for preventing an insulation film and a protection layer from peeling is formed in corner portions of a semiconductor device. The peeling prevention layer can increase its peeling prevention effect more when formed in a vacant space of the semiconductor device other than the corner portions, for example, between ball-shaped conductive terminals. In a cross section of the semiconductor device, the peeling prevention layer is formed on the insulation film on the back surface of the semiconductor substrate, and the protection layer formed of a solder resist or the like is formed covering the insulation film and the peeling prevention layer. The peeling prevention layer has a lamination structure of a barrier seed layer and a copper layer formed thereon when formed by an electrolytic plating method.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: March 15, 2011
    Assignees: Sanyo Electric Co., Ltd., Kanto Sanyo Semiconductors Co., Ltd.
    Inventors: Mitsuo Umemoto, Kojiro Kameyama, Akira Suzuki
  • Patent number: 7906432
    Abstract: A method of manufacturing a semiconductor device in which a source contact plug and a drain contact plug are formed. The method includes the steps of etching part of the semiconductor substrate to form a step, thus forming an overlay vernier, and forming a hard mask on the step so that the step is maintained.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: March 15, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kyung Ah Jeong
  • Publication number: 20110057333
    Abstract: The present disclosure relates to a method of controlling the manufacturing of integrated circuits, comprising steps of determining parameters that are characteristic of a curve of radiation intensity applied to a semiconductor wafer through a mask, in critical zones of structures to be formed on the wafer, for each of the critical zones, placing a measuring point in a multidimensional space each dimension of which corresponds to one of the characteristic parameters, placing control points in the multidimensional space that are spread around an area delimited by the measuring points, so as to delimit an envelope surrounding the area, for each control point, defining control structures each corresponding to a control point, generating a mask containing the control structures, applying a process involving the generated mask to a semiconductor wafer, and analyzing the control structures transferred to the wafer to detect any defects therein.
    Type: Application
    Filed: November 15, 2010
    Publication date: March 10, 2011
    Applicant: STMICROELECTRONICS ROUSSET SAS
    Inventors: Antonio Di Giacomo, Romuald Sabatier
  • Patent number: 7898095
    Abstract: A method for stacking integrated circuit substrates and the substrates used therein are disclosed. In the method, an integrated circuit substrate having top and bottom surfaces is provided. The substrate is divided vertically into a plurality of layers including an integrated circuit layer having integrated circuit elements constructed therein and a buffer layer adjacent to the bottom surface. An alignment fiducial mark extending from the top surface of the wafer into the substrate to a depth below that of the circuit layer is constructed. The vias are arranged in a pattern that provides a fiducial mark when viewed from the bottom surface of the substrate. The pattern can be chosen such that it is recognized by a commercial stepper/scanner/contact mask aligner when viewed from said backside of said wafer. After the substrate is thinned, the alignment fiducial mark is then used to position a mask used in subsequent processing.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: March 1, 2011
    Assignee: Tezzaron Semiconductor, Inc.
    Inventors: Robert Patti, Sangki Hong, Chockalingam Ramasamy
  • Patent number: 7893549
    Abstract: A microelectronic structure, and in particular a semiconductor structure, includes a substrate that includes an alignment mark comprising a substantially present element that has an atomic number at least 5 greater than a highest atomic number substantially present element within the substrate. Alignment to the alignment mark may be effected using an electron beam as an alignment beam with respect to both a direct write exposure and a reticle filtered optical exposure of a mask layer (i.e., photoresist mask layer) located over the alignment mark and the substrate. The electron beam alignment beam may effectively penetrate through other layers, including conductor layers comprising elements having appropriately low atomic number, located interposed between the alignment mark and the mask layer.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: James J. Bucchignano, Mary Beth Rothwell, Robert Luke Wisneiff, Roy Rongquing Yu
  • Patent number: 7893550
    Abstract: A semiconductor package comprising alignment members is provided. The semiconductor package includes a semiconductor die, first connection terminals disposed on a first surface of the semiconductor die, and a tape substrate including a substrate portion, and second connection terminals disposed on the substrate portion and disposed corresponding to the first connection terminals. The semiconductor package further includes a first alignment member disposed on the first surface of the semiconductor die, and a second alignment member disposed on the substrate portion of the tape substrate and disposed corresponding to the first alignment member.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: February 22, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Na-rae Shin, Dong-han Kim
  • Publication number: 20110024924
    Abstract: The present disclosure provides an integrated circuit. The integrated circuit includes a semiconductor substrate; a plurality of material layers formed on the semiconductor substrate, each of the material layers including a circuit pattern therein; and a plurality of diffraction-based periodic marks formed in the plurality of material layers and stacked in a same region. One of the diffraction-based periodic marks is different from at least one other of the diffraction-based periodic marks in pitch.
    Type: Application
    Filed: July 29, 2009
    Publication date: February 3, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Yuan Shih, Sophia Wang, Heng-Hsin Liu, Heng-Jen Lee
  • Patent number: 7879682
    Abstract: The invention includes a lithographic system having a first source for generating radiation with a first wavelength and an alignment system with a second source for generating radiation with a second wavelength. The second wavelength is larger than the first wavelength. A marker structure is provided having a first layer and a second layer. The second layer is present either directly or indirectly on top of said first layer. The first layer has a first periodic structure and the second layer has a second periodic structure. At least one of the periodic structures has a plurality of features in at least one direction with a dimension smaller than 400 nm. Additionally, a combination of the first and second periodic structure forms a diffractive structure arranged to be illuminated by radiation with the second wavelength.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: February 1, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Arie Jeffrey Den Boef, Jacobus Burghoorn, Maurits Van Der Schaar, Bart Rijpers
  • Patent number: 7879515
    Abstract: A method of determining positioning error between lithographically produced integrated circuit patterns on at least two different lithographic levels of a semiconductor wafer comprising. The method includes exposing, developing and etching one or more lithographic levels to create one or more groups of marks comprising a target at one or more wafer locations. The method then includes exposing and developing a subsequent group of marks within the target on a subsequent lithographic level. The method then comprises measuring the position of the marks on each level with respect to a common reference point, and using the measured positions of the groups of marks to determine the relative positioning error between one or more pairs of the developed and etched lithographic levels on which the marks are located.
    Type: Grant
    Filed: January 21, 2008
    Date of Patent: February 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, William A. Muth
  • Patent number: 7880880
    Abstract: An alignment system for a lithographic apparatus has a source of alignment radiation; a detection system that has a first detector channel and a second detector channel; and a position determining unit in communication with the detection system. The position determining unit is constructed to process information from said first and second detector channels in a combination to determine a position of an alignment mark on a work piece, the combination taking into account a manufacturing process of the work piece. A lithographic apparatus has the above mentioned alignment system. Methods of alignment and manufacturing devices with a lithographic apparatus use the above alignment system and lithographic apparatus, respectively.
    Type: Grant
    Filed: December 6, 2005
    Date of Patent: February 1, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Franciscus Bernardus Maria Van Bilsen, Jacobus Burghoorn, Richard Johannes Franciscus Van Haren, Paul Christiaan Hinnen, Hermanus Gerardus Van Horssen, Jeroen Huijbregtse, Andre Bernardus Jeunink, Henry Megens, Ramon Navarro Y Koren, Hoite Pieter Theodoor Tolsma, Hubertus Johannes Gertrudus Simons, Johny Rutger Schuurhuis, Sicco Ian Schets, Brian Young Bok Lee, Allan Reuben Dunbar
  • Publication number: 20110018118
    Abstract: Described herein are semiconductor device packages and redistribution structures including alignment marks and manufacturing methods thereof.
    Type: Application
    Filed: January 6, 2010
    Publication date: January 27, 2011
    Inventors: Chuehan Hsieh, Hung-Jen Yang, Min-Lung Huang
  • Publication number: 20110018146
    Abstract: Systems and methods of semiconductor device fabrication and layout generation are disclosed. An exemplary method includes processes of depositing a layer of a first material and patterning the layer to form an initial pattern, wherein the initial pattern defines critical features of the layout elements using a single exposure; depositing spacer material over the first pattern on the substrate and etching the spacer material such that the spacer material is removed from horizontal surfaces of the substrate and the first pattern but remains adjacent to vertical surfaces of the first pattern; removing the initial pattern from the substrate while leaving the spacer material in a spacer pattern; filling the spacer pattern with final material; and trimming the filled pattern to remove portions of the final material beyond dimensions of the layout elements.
    Type: Application
    Filed: January 16, 2008
    Publication date: January 27, 2011
    Inventor: Christophe Pierrat
  • Publication number: 20110018147
    Abstract: An alignment key, a method for fabricating the alignment key, and a method for fabricating a thin film transistor substrate using the alignment key are provided. The alignment key includes a base substrate, a first alignment key and a first mark portion of a second alignment key, which are formed on the base substrate using a printing roll, a dielectric that is formed on the base substrate to cover the first alignment key, and a second mark portion of the second alignment key, which is formed on the dielectric and at least partly overlaps the first mark portion of the second alignment key.
    Type: Application
    Filed: October 5, 2010
    Publication date: January 27, 2011
    Inventors: Youn Gyoung CHANG, Seung Hee Nam, Nam Kook Kim, Soon Sung Yoo
  • Patent number: 7875987
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction mirror arrays on the substrate, each diffraction mirror array of the set of at least three diffraction mirror arrays comprising a single row of mirrors, all mirrors in any particular diffraction mirror array spaced apart a same distance, mirrors in different diffraction mirror arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: January 25, 2011
    Assignee: International Business Machines Corporation
    Inventors: Axel Aguado Granados, Benjamin Aaron Fox, Nathaniel James Gibbs, Andrew Benson Maki, Trevor Joseph Timpane
  • Patent number: 7876439
    Abstract: A target system for determining positioning error between lithographically produced integrated circuit fields on at least one lithographic level. The target system includes a first target pattern on a lithographic field containing an integrated circuit pattern, with the first target pattern comprising a plurality of sub-patterns symmetric about a first target pattern center and at a same first distance from the first target pattern center. The target system also includes a second target pattern on a different lithographic field, with the second target pattern comprising a plurality of sub-patterns symmetric about a second target pattern center and at a same second distance from the second target pattern center. The second target pattern center is intended to be at the same location as the first target pattern center. The centers of the first and second target patterns may be determined and compared to determine positioning error between the lithographic fields.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: January 25, 2011
    Assignee: International Business Machines Corporation
    Inventors: Christopher P. Ausschnitt, Lewis A. Binns, Jaime D. Morillo, Nigel P. Smith
  • Patent number: 7875988
    Abstract: A substrate for fixing an integrated circuit element includes: a plurality of metal columns that are aligned in a longitudinal direction and a lateral direction in a planar view, each of the plurality of metal columns having a first face and a second face facing opposite direction to the first face; and a connecting part that connects the plurality of metal columns one another at a part of each of the plurality of metal columns between the first face and the second face. In the substrate, a recognition mark is formed on the first face of one of the plurality of metal columns.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: January 25, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Masanobu Shoji, Toru Fujita
  • Publication number: 20110012271
    Abstract: An integrated alignment and overlay mark includes a pre-layer pattern for reticle-to-wafer registration implemented in an exposure tool, and a current-layer pattern incorporated with the pre-layer pattern. The pre-layer pattern and the current-layer pattern constitute an overlay mark for determining registration accuracy between two patterned layers on a semiconductor wafer.
    Type: Application
    Filed: July 14, 2009
    Publication date: January 20, 2011
    Inventor: Chui-Fu Chiu
  • Patent number: 7868474
    Abstract: A method of indexing a plurality of dice obtained from a material wafer comprising a plurality of stacked material layers, each die being obtained in a respective die position in the wafer, the method including providing a visible index on each die indicative of the respective die position, wherein providing the visible index on each die includes: forming in a first material layer of the die a reference structure adapted to defining a mapping of the wafer; and forming in a second material layer of the die a marker associated with the reference structure, a position of the marker with respect to the reference structure being adapted to provide an indication of the die position in the wafer.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: January 11, 2011
    Assignee: STMicroelectronics, S.r.l.
    Inventors: Daniele Alfredo Brambilla, Marco Natale Valtolina
  • Patent number: 7868473
    Abstract: A method for determining the centroid of a wafer target. In one embodiment, the method comprises a series of steps in a stepper, starting with the step of receiving a wafer, having a target set formed therein. Next, a signal is passed over the target set and over a material separating target shapes in the target set. Then a return signal is reflected, and received, from the surface of the target shapes and the material separating them. A location of at least one maxima point of the return signal is identified. Finally, a centroid is determined as the median of the locations of at least one maxima point.
    Type: Grant
    Filed: April 12, 2005
    Date of Patent: January 11, 2011
    Assignee: NXP B.V.
    Inventors: Bryan Hubbard, Pierre Leroux
  • Patent number: 7863726
    Abstract: A method of manufacture of an integrated circuit package system includes: forming a package substrate with a top substrate side and a bottom substrate side; forming a corner contact in a first corner of the bottom substrate side, the corner contact extending to a substrate edge of the package substrate; mounting an integrated circuit device over the top substrate side; connecting an electrical interconnect between the integrated circuit device and the top substrate side; and forming a package encapsulation over the top substrate side, the integrated circuit device, and the electrical interconnect.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: January 4, 2011
    Assignee: Stats Chippac Ltd.
    Inventors: Seng Guan Chow, Tae Hoan Jang
  • Patent number: 7863763
    Abstract: The present invention relates to alignment marks for use on substrates, the alignment marks consisting of periodic 2-dimensional arrays of structures, the spacing of the structures being smaller than an alignment beam but larger than an exposure beam and the width of the structures varying sinusoidally from one end of an array to the other.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: January 4, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Sami Musa
  • Publication number: 20100327451
    Abstract: An alignment mark for defect inspection is disclosed. The alignment mark includes: a semiconductor substrate; a first type well disposed in the semiconductor substrate; a second type doping region disposed in the first type well; a dielectric layer disposed on the semiconductor substrate to cover the first type well and the second type doping region; and a plurality of conductive plugs formed in the dielectric layer for connecting to the second type doping region.
    Type: Application
    Filed: September 8, 2010
    Publication date: December 30, 2010
    Inventors: Ling-Chun Chou, Ming-Tsung Chen, Hsi-Hua Liu, Shuen-Cheng Lei, Po-Chao Tsao
  • Publication number: 20100321705
    Abstract: A semiconductor device includes an alignment mark. A probe beam is scanned on the alignment mark so as to detect a position coordinate of the alignment mark, and the alignment mark comprises a plurality of bar marks which are arranged in a first predetermined interval along a first direction of scanning the detection beam. Each of the plurality of bar marks comprises a plurality of interconnection marks which are arranged along a second direction orthogonal to the first direction, and a first space between adjacent two of the plurality of interconnection marks is shorter than a wavelength of the detection beam within a range of a design constraint.
    Type: Application
    Filed: May 28, 2010
    Publication date: December 23, 2010
    Applicant: Renesas Electronics Corpora
    Inventor: Mami Miyasaka
  • Patent number: 7855035
    Abstract: According to the present invention, provided is a method of manufacturing a electronic device including forming a film over a substrate, performing a photoresist over the film, performing a first exposure by using an exposure mask which includes a scribe region and a inspection mark formed in a first side of the scribe region, and performing a second exposure so that a region that is exposed to the first side in the first exposure is exposed to a second side of the scribe region which is opposite to the first side, wherein, in the second exposure, an exposure light is incident on a region where the inspection mark is projected in the first exposure.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: December 21, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mitsufumi Naoe
  • Patent number: 7855136
    Abstract: A semiconductor chip comprises a silicon substrate on which semiconductor elements are formed, pads, each of which is formed on the silicon substrate and electrically connected to at least one of the semiconductor elements, a first insulating layer having an opening over each one of the pads, a first wiring layer formed on the first insulating layer, electrically connected to the pads and having connecting parts, a second insulating layer formed on the first wiring layer and having openings over the connecting parts of the first wiring layer, electrically functioning solder bumps, each of which is formed on one of the openings of the second insulating layer with electrically connecting to one of the pads via the first wiring layer, and dummy bumps for self adjustment, each of which is formed on one of the openings of the second insulating layer without electrically connecting to the pad.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: December 21, 2010
    Assignee: FujifilmCorporation
    Inventor: Hidenobu Takahira
  • Publication number: 20100309470
    Abstract: An alignment mark arrangement includes: a first alignment pattern comprising a plurality of parallel first stripes on a substrate, wherein each of the first stripes includes a first dimension; and a second alignment pattern positioned directly above and overlapping with the first alignment pattern, the second alignment pattern including a plurality of parallel second stripes, wherein each of the second stripes of the second alignment pattern has a second dimension that is larger than the first dimension of each of the first stripes of the first alignment pattern.
    Type: Application
    Filed: June 5, 2009
    Publication date: December 9, 2010
    Inventors: An-Hsiung Liu, Chun-Yen Huang, Ming-Hung Hsieh
  • Patent number: 7847939
    Abstract: In an overlay metrology method used during semiconductor device fabrication, an overlay alignment mark facilitates alignment and/or measurement of alignment error of two layers on a semiconductor wafer structure, or different exposures on the same layer. A target is small enough to be positioned within the active area of a semiconductor device combined with appropriate measurement methods, which result in improved measurement accuracy.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: December 7, 2010
    Assignee: Nanometrics Incorporated
    Inventors: Nigel Peter Smith, Yi-Sha Ku, Hsiu Lan Pang
  • Patent number: 7838386
    Abstract: Disclosed is a method and a system for forming alignment marks on a transparent substrate. A light reflective layer is deposited over an optically transparent substrate of a wafer. A region is defined around an alignment mark on the optically transparent substrate. The light reflective layer is removed from a substantial portion of the transparent substrate excluding the region. In addition, a micro electro-mechanical systems device is disclosed. The device comprises an optically transparent substrate, at least one optically partially transparent alignment mark on the optically transparent substrate, and a plurality of reflective elements or imaging pixels attached to the optically transparent substrate.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: November 23, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ruei-Hung Jang, Ya-Wen Lee, Tzu-Yang Wu, Sheng-Liang Pan, Chin-Hsiang Lin, Tsai-Sheng Gau
  • Patent number: 7839006
    Abstract: A semiconductor device and a method for manufacturing the same prevents copper from being exposed to a surface of a passivation film after a copper metal line formation, to avoid contamination of processing equipment and the process environment. The method includes providing a substrate with a scribe lane and a chip area in which metal wiring layers are formed, forming a dielectric film, forming a conductive film on the dielectric film in a chip area and an alignment mark on the dielectric film in a scribe lane, forming passivation films, exposing the conductive film by removing the passivation films in a bonding pad portion in a chip area, forming another conductive film in the bonding pad portion to electrically connect with the conductive film, forming another passivation film, and selectively removing the passivation films.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: November 23, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yung Pil Kim
  • Patent number: 7833829
    Abstract: A Micro ElectroMechanical Systems device according to an embodiment of the present invention is formed by dicing a MEMS wafer and attaching individual MEMS dies to a substrate. The MEMS die includes a MEMS component attached to a glass layer, which is attached to a patterned metallic layer, which in turn is attached to a number of bumps. Specifically, the MEMS component on the glass layer is aligned to one or more bumps using windows that are selectively created or formed in the metallic layer. One or more reference features are located on or in the glass layer and are optically detectable. The reference features may be seen from the front surface of the glass layer and used to align the MEMS components and may be seen through the windows and used to align the bumps. As an end result, the MEMS component may be precisely aligned with the bumps via optical detection of the reference features in the glass layer.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: November 16, 2010
    Assignee: Honeywell International Inc.
    Inventors: Mark Eskridge, Galen Magendanz
  • Patent number: 7830028
    Abstract: Different types of test structures are formed during semiconductor processing. One type of test structure comprises features that are aligned with one another and that are formed from different layers. Other types of test structures comprise features formed from respective layers that are not aligned with other test structure features. The different types of test structures are formed with a single mask that is used in a manner that also allows alignment marks to be formed which do not interfere with one another as subsequent layers are patterned. The different types of test structures can provide insight into performance characteristics of different types of devices as the semiconductor process proceeds.
    Type: Grant
    Filed: June 30, 2007
    Date of Patent: November 9, 2010
    Assignee: SanDisk Corporation
    Inventors: Calvin K. Li, Yung-Tin Chen, En-Hsing Chen, Paul Wai Kie Poon
  • Patent number: 7830027
    Abstract: The invention relates to inter-level realignment after a stage of epitaxy on a face (31) of a substrate (30), comprising the production of at least one initial guide mark (32) on the face of the substrate, this initial guide mark being designed so as to be transferred, during epitaxy, onto the surface of the epitaxied layer (36). The initial guide mark (32) is produced in such a way that, during epitaxy, its edges create growth defects that propagate as far as the surface of the epitaxied layer (36) to provide a transferred guide mark (37) on the surface of the epitaxied layer (36) reproducing the shape of the initial guide mark (32) and in alignment with the initial guide mark.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: November 9, 2010
    Assignees: Commissariat a l'Energie Atomique, Freescale Semiconductor, Inc.
    Inventors: Bernard Diem, Eugene Blanchet, Bishnu Gogoi
  • Patent number: 7825529
    Abstract: A semiconductor device includes a semiconductor substrate and an alignment mark. The alignment mark is provided on the semiconductor substrate and optically detectable. The alignment mark includes a bright area and a dark area. The bright area outputs light reflected from a surface of the semiconductor substrate. The dark area includes metal wirings, outputs light reflected from surfaces of the metal wirings, and has brightness lower than that of the bright area.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: November 2, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Hideaki Horii
  • Patent number: 7823276
    Abstract: The present invention provides a printed circuit board that can suppress the positional displacement of parts mounted thereon. The printed circuit board includes resist formed on the surface of the printed circuit board, lands for receiving respective parts to be mounted, the lands being arranged off openings free from the resist, and lands for alignment, respectively alignment marks being formed on the land for alignment by means of solder.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: November 2, 2010
    Assignee: Sony Corporation
    Inventors: Kazumoto Chiba, Seigo Sato
  • Patent number: 7821142
    Abstract: An alignment mark mask element protects an underlying alignment mark during subsequent processing of a fabrication substrate. The alignment mark mask element is formed concurrent with formation of a photomask from a dual-tone photoresist that exhibits a pattern reversal upon exposure to an energy level. A portion of the dual-tone photoresist above the alignment mark is exposed to an energy sufficient to reverse a positive tone resist to a negative tone, which remains above the alignment mark after developing. The remainder of the dual-tone photoresist is exposed through a reticle at a lesser energy level and patterned to define aperture locations of a photomask for formation of semiconductor device features. In addition, a photomask for use on a fabrication substrate and an intermediate semiconductor device are disclosed. Methods of forming a photomask and an intermediate semiconductor device structure are also disclosed.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: October 26, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Richard D. Holscher, Ardavan Niroomand
  • Patent number: 7812465
    Abstract: Disclosed is a semiconductor chip having an alignment mark which is formed on the surface of the semiconductor chip where no external connection bump is formed, and which has the position information of the external connection bump. A method of manufacturing the semiconductor chip having an alignment mark is also provided. Because the semiconductor chip includes the alignment mark having the position information of the external connection bump, the external connection bump is matched with a via which is formed in the external circuit layer of a printed circuit board including the semiconductor chip, thus improving electrical connection with the printed circuit board, and increasing the reliability of the printed circuit board including the semiconductor chip.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: October 12, 2010
    Assignee: Samsung Electro-Machanics Co., Ltd.
    Inventors: Jae Kul Lee, Yul Kyo Chung
  • Patent number: 7811932
    Abstract: A die-on-die assembly has a first die (10) and a second die (50). The first die (10) has a first contact extension (28,42) and a peg (32,44,45) extending a first height above the first die. The second die (50) has a second contact extension (68) connected to the first contact extension and has a containing feature (62) extending a second height above the second die surrounding the peg. The peg extends past the containing feature. Because the peg extends past the containing feature, lateral movement between the first and second die can cause the peg to come in contact with and be constrained by the containing feature. The peg and containing feature are thus useful in constraining movement between the first and second die.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: October 12, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott K. Pozder, Ritwik Chatterjee