Deposition/post-treatment Of Noninsulating, E.g., Conductive - Or Resistive - Layers On Insulating Layers (epo) Patents (Class 257/E21.294)
  • Publication number: 20100285658
    Abstract: A method is provided that includes providing a substrate; forming a transistor in the substrate, the transistor having a dummy gate; forming a dielectric layer over the substrate and transistor; forming a contact feature in the dielectric layer; and after forming the contact feature, replacing the dummy gate of the transistor with a metal gate. An exemplary contact feature is a dual contact.
    Type: Application
    Filed: July 20, 2010
    Publication date: November 11, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chiung-Han Yeh, Ming-Yuan Wu, Kong-Beng Thei, Harry Chuang, Mong-Song Liang
  • Publication number: 20100276724
    Abstract: The application illustrates a light-emitting device including a contact layer and a current spreading layer on the contact layer. A part of the contact layer is a rough structure and a part of the contact layer is a flat structure. A part of the current spreading layer is a rough structure and a part of the current spreading layer is a flat structure. The rough region of the contact layer and the rough region of the current spreading layer are substantially overlapped.
    Type: Application
    Filed: April 29, 2010
    Publication date: November 4, 2010
    Inventors: Hung-Chih YANG, Yu-Jiun Shen, Hsin-Mao Liu
  • Publication number: 20100270672
    Abstract: A semiconductor device includes a conductive section formed on a semiconductor chip; and a bump electrode formed directly or indirectly on the conductive section. The conductive section includes a slit section having a thickness thinner than another portion of the conductive section. The bump electrode has a recessed section corresponds to the slit section above the slit section.
    Type: Application
    Filed: January 7, 2010
    Publication date: October 28, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Seiichi Shiraki
  • Patent number: 7816721
    Abstract: The invention provides a semiconductor device which is non-volatile, easily manufactured, and can be additionally written. A semiconductor device of the invention includes a plurality of transistors, a conductive layer which functions as a source wiring or a drain wiring of the transistors, and a memory element which overlaps one of the plurality of transistors, and a conductive layer which functions as an antenna. The memory element includes a first conductive layer, an organic compound layer and a phase change layer, and a second conductive layer stacked in this order. The conductive layer which functions as an antenna and a conductive layer which functions as a source wiring or a drain wiring of the plurality of transistors are provided on the same layer.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: October 19, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hiroko Abe, Yukie Nemoto, Ryoji Nomura, Mikio Yukawa
  • Publication number: 20100261343
    Abstract: Electrodes formed in a partial surface area of a semiconductor substrate and distal ends of conductive nanotubes bristled on a surface of a growth substrate, are bombarded with rare gas plasma. The distal ends of the conductive nanotubes bombarded with the rare gas plasma are brought into contact with the electrodes bombarded with the rare gas plasma to fix the conductive nanotubes to the electrodes. The growth substrate is separated from the semiconductor substrate in such a manner that the conductive nanotubes fixed to the electrodes remain on the electrodes formed on the semiconductor substrate.
    Type: Application
    Filed: June 25, 2010
    Publication date: October 14, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Masataka Mizukoshi, Taisuke Iwai
  • Publication number: 20100254191
    Abstract: A semiconductor memory device includes a substantially planar substrate; a memory string vertical to the substrate, the memory string comprising a plurality of storage cells; and a plurality of elongated word lines, each word line including a first portion substantially parallel to the substrate and connected to the memory string and a second portion substantially inclined relative to the substrate and extending above the substrate, wherein a first group of the plurality of word lines are electrically connected to first conductive lines disposed at a first side of the memory string, and a second group of the plurality of word lines are electrically connected to second conductive lines disposed at a second side of the memory string.
    Type: Application
    Filed: April 1, 2010
    Publication date: October 7, 2010
    Inventors: Byoungkeun Son, Hansoo Kim, Youngsoo An, Mingu Kim, Jinho Kim, Jaehyoung Choi, Sukhun Choi, Jae-Joo Shim, Wonseok Cho, Sunil Shim, Ju-Young Lim
  • Publication number: 20100252102
    Abstract: Method for printing on a wafer (1) by screen-printing, characterized in that it comprises the following steps: producing at least two first test-patterns (5a-5d) on the surface (4) of the wafer (1); printing at least four second test-patterns (6a-6d), distinct from the at least two first test-patterns (5a-5d), during printing on the surface (4) of the wafer (1) by screen-printing; measuring the actual distance obtained on the surface (4) of the wafer (1) between the first test-patterns (5a-5d) and the second test-patterns (6a-6d); comparing this actual distance with a theoretical distance in order to deduce therefrom the offset of the screen-printing screen (25) of the printing.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 7, 2010
    Inventor: Armand BETTINELLI
  • Publication number: 20100248465
    Abstract: Methods of fabricating a silicon oxide layer using an inorganic silicon precursor and methods of fabricating a semiconductor device using the same are provided. The methods of fabricating a semiconductor device include forming a tunnel insulating layer and a charge storage layer on a substrate; forming a dielectric layer structure on the charge storage layer using an atomic layer deposition (ALD) method, the dielectric layer structure including a first dielectric layer formed of silicon oxide, a second dielectric layer on the first dielectric layer formed of a material different from the material forming the first dielectric layer, and a third dielectric layer formed of the silicon oxide on the second dielectric layer; and forming a control gate on the dielectric layer structure.
    Type: Application
    Filed: March 24, 2010
    Publication date: September 30, 2010
    Inventors: In-Sun Yi, Ki-Hyun Hwang, Jin-Tae Noh, Jae-Young Ahn, Si-Young Choi
  • Publication number: 20100237471
    Abstract: A plurality of semiconductor die is mounted to a carrier separated by a peripheral region. An insulating material is deposited in the peripheral region. A first opening is formed in the insulating material of the peripheral region to a first depth. A second opening is formed in the insulating material of the peripheral region centered over the first opening to a second depth less than the first depth. The first and second openings constitute a composite through organic via (TOV) having a first width in a vertical region of the first opening and a second width in a vertical region of the second opening. The second width is different than the first width. A conductive material is deposited in the composite TOV to form a conductive TOV. An organic solderability preservative (OSP) coating is formed over a contact surface of the conductive TOV.
    Type: Application
    Filed: March 17, 2009
    Publication date: September 23, 2010
    Applicant: STATS ChipPAC, LTD.
    Inventors: Reza A. Pagaila, Byung Tai Do, Shuangwu Huang
  • Publication number: 20100233878
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a plug on a semiconductor substrate, forming an insulation layer over the semiconductor substrate having the plug formed thereon, defining a line type trench through a first etching of a partial thickness of the insulation layer; and defining a contact hole through a second etching of a portion of the insulation layer corresponding to the bottom of the trench so as to expose the plug.
    Type: Application
    Filed: May 18, 2009
    Publication date: September 16, 2010
    Inventors: Hyoung Joon KIM, Ho Yup KWON, Jeong Hoon PARK, Sung Hyun KIM
  • Patent number: 7795123
    Abstract: The present invention discloses to a method of forming a gate electrode, the method according to the present invention comprises the steps of forming a lower amorphous silicon layer using silane (SiH4) gas and nitrous oxide (N2O) gas; forming an upper amorphous silicon layer on the lower amorphous silicon layer; and crystallizing the lower and upper amorphous silicon layers through a thermal process.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: September 14, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Eun Shil Park, Kwon Hong, Jae Hong Kim, Jae Hyoung Koo
  • Patent number: 7795137
    Abstract: When a tungsten film (43) is embedded inside of a conductive groove (4A) formed in a wafer (W2) and a silicon oxide film (36) thereon and having a high aspect ratio, film formation and etch back of the tungsten film (43) are successively performed in a chamber of the same apparatus, therefore, a film thickness of the tungsten film (43) deposited in one film formation step is made to be thin. Whereby problems, such as exfoliation of the tungsten film (43), generation of micro-cracks, and occurrence of warpage and cracks of the wafer (W2), are avoided.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: September 14, 2010
    Assignees: Hitachi, Ltd., Honda Motor Co., Ltd.
    Inventors: Toshio Saito, Akira Otaguro, Manabu Otake, Yoshiya Takahira, Namio Katagiri, Nobuaki Miyakawa
  • Patent number: 7790591
    Abstract: Methods of manufacturing a semiconductor device are provided including forming a charge storage layer on a gate insulating layer that is on a semiconductor substrate. A blocking insulating layer is formed on the charge storage layer and an electrode layer is formed on the blocking insulating layer. The blocking insulating layer may be formed by forming a lower metal oxide layer at a first temperature and forming an upper metal oxide layer on the lower metal oxide layer at a second temperature, lower than the first temperature.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: September 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-chul Yoo, Myoung-bum Lee, Young-geun Park, Han-mei Choi, Se-hoon Oh, Byong-ju Kim, Kyong-won An, Seon-ho Jo
  • Publication number: 20100218814
    Abstract: A method of reducing the loss of elements of a photovoltaic thin film structure during an annealing process, includes depositing a thin film on a substrate, wherein the thin film includes a single chemical element or a chemical compound, coating the thin film with a protective layer to form a coated thin film structure, wherein the protective layer prevents part of the single chemical element or part of the chemical compound from escaping during an annealing process, and annealing the coated thin film structure to form a coated photovoltaic thin film structure, wherein the coated photovoltaic thin film retains the part of the single chemical element or the part of the chemical compound that is prevented from escaping during the annealing by the protective layer.
    Type: Application
    Filed: September 9, 2009
    Publication date: September 2, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hariklia Deligianni, Harold J. Hovel, Raman Vaidvanathan
  • Publication number: 20100216279
    Abstract: A method and structure of a bistable resistance random access memory comprise a plurality of programmable resistance random access memory cells where each programmable resistance random access memory cell includes multiple memory members for performing multiple bits for each memory cell. The bistable RRAM includes a first resistance random access member connected to a second resistance random access member through interconnect metal liners and metal oxide strips. The first resistance random access member has a first resistance value Ra, which is determined from the thickness of the first resistance random access member based on the deposition of the first resistance random access member. The second resistance random access member has a second resistance value Rb, which is determined from the thickness of the second resistance random access member based on the deposition of the second resistance random access member.
    Type: Application
    Filed: March 2, 2010
    Publication date: August 26, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Erh-kun Lai, ChiaHua Ho, Kuang Yeu Hsieh
  • Publication number: 20100216276
    Abstract: A method is disclosed for simultaneously forming the reflector of a photovoltaic concentrator and the electrical connections between a plurality of photovoltaic cells. In some embodiments a method for producing a photovoltaic device is disclosed using triangular prisms to concentrate light onto silicon cells, thereby reducing the amount of photovoltaic silicon required for generation of electrical power from sunlight without reducing the amount of light accepted by the device.
    Type: Application
    Filed: March 8, 2010
    Publication date: August 26, 2010
    Inventors: Joseph I Lichy, Irving Lichy
  • Publication number: 20100207273
    Abstract: Provided is a feeding method for feeding conductive balls to the insides of through holes of a mask reliably and efficiently so as to match a fine pitch. In the feeding method, a head (300), which can move over the surface of a feeding mask (200) and which is caused to give a directivity to micro balls (340) by a squeezee (310) for rotating around a feed port (320) to be fed with the micro balls (340), is used to feed the micro balls (340) to the insides of a plurality of through holes (210) formed in the feeding mask (200). At this time, the head (300) is moved while being oscillated, to feed the micro balls (340) to the insides of the through holes (210) while improving the probability, on which the micro balls (340) meet the through holes (210) of the feeding mask (200).
    Type: Application
    Filed: January 17, 2008
    Publication date: August 19, 2010
    Inventor: Kengo Aoya
  • Publication number: 20100210072
    Abstract: Embodiments of buffer coatings for semiconductor and integrated circuit manufacturing are presented herein.
    Type: Application
    Filed: April 21, 2010
    Publication date: August 19, 2010
    Applicant: Intel Corporation
    Inventors: Michael D. Goodner, Kevin J. Lee
  • Publication number: 20100200065
    Abstract: The present structure and method for fabrication thereof provides a photovoltaic cell structure for converting light energy into electrical energy. According to one embodiment, a pillared photovoltaic cell structure comprises an array of pillars that are situated closely to each other to take advantage of both the wave-like properties and the particle-like properties of light to enhance the energy conversion efficiency of the photovoltaic cell. According to one embodiment, a pillared photovoltaic cell structure incorporating self-aligned P/P+ junctions enable holes generated near the top surface of the cell structure to be captured by the self-aligned P/P+ junctions.
    Type: Application
    Filed: February 12, 2010
    Publication date: August 12, 2010
    Inventor: Kyu Hyun Choi
  • Patent number: 7759234
    Abstract: A method for fabricating a semiconductor device includes forming a sacrificial layer having a stack structure of a first insulation layer, a first conductive layer and a second insulation layer over a substrate, forming a recess by etching the sacrificial layer and the substrate, forming a gate insulation layer over a recess surface, filling a second conductive layer in the recess and between etched sacrificial layers, forming a gate electrode metal layer, a gate hard mask layer and a gate mask pattern over a resultant substrate, etching layers formed below the gate mask pattern by using the gate mask pattern until the first conductive layer is exposed, thereby forming an initial gate pattern, forming a capping layer on a sidewall and a top portion of the initial gate pattern, and etching an exposed portion by using the capping layer as a mask until the first insulation layer is exposed, thereby forming a final gate pattern.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: July 20, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Rok Oh, Jae-Seon Yu
  • Publication number: 20100176494
    Abstract: A semiconductor substrate having a through-silicon via with an air gap interposed between the through-silicon via and the semiconductor substrate is provided. An opening is formed partially through the semiconductor substrate. The opening is first lined with a first liner and then the opening is filled with a conductive material. A backside of the semiconductor substrate is thinned to expose the first liner, which is subsequently removed and a second liner formed with a low-k or extra low-k dielectric is formed in its place.
    Type: Application
    Filed: November 12, 2009
    Publication date: July 15, 2010
    Inventor: Ming-Fa Chen
  • Publication number: 20100176898
    Abstract: A micro electro mechanical systems (MEMS) device includes a substrate and a MEMS structure formed on the substrate. In the device, the MEMS structure includes an operation structure including a support portion formed on the substrate and a movable portion that is extended from the support portion and movable above the substrate. The movable portion has a section minimum portion whose a sectional area orthogonal to a direction toward the movable portion from the support portion is smaller than a sectional area of the movable portion located on each side of the section minimum portion. The section minimum portion is formed by a boundary pattern provided to a planar pattern of the operation structure.
    Type: Application
    Filed: January 12, 2010
    Publication date: July 15, 2010
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Ryuji KIHARA
  • Publication number: 20100176440
    Abstract: A semiconductor device includes: a first layer; a second layer; a columnar structural unit; and a side portion. The second layer is provided on a major surface of the first layer. The columnar structural unit is conductive and aligned in the first layer and the second layer to pass through the major surface. The side portion is added to a side wall of the columnar structural unit on the second layer side of the major surface.
    Type: Application
    Filed: November 9, 2009
    Publication date: July 15, 2010
    Inventor: Mitsuhiro OMURA
  • Publication number: 20100176506
    Abstract: The invention comprises a 3D chip stack with an intervening thermoelectric coupling (TEC) plate. Through silicon vias in the 3D chip stack transfer electronic signals among the chips in the 3D stack, power the TEC plate, as well as distribute heat in the stack from hotter chips to cooler chips.
    Type: Application
    Filed: January 12, 2009
    Publication date: July 15, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Louis Lu-Chen Hsu, Ping-Chuan Wang, Xiaojin Wei, Huilong Zhu
  • Publication number: 20100176366
    Abstract: A nonvolatile memory cell includes a storage element, the storage element comprising a carbon material, a steering element located in series with the storage element, and a metal silicide layer located adjacent to the carbon material. A method of making a device includes forming a metal silicide over a silicon layer, forming a carbon layer over the metal silicide layer, forming a barrier layer over the carbon layer, and patterning the carbon layer, the metal silicide layer, and the silicon layer to form an array of pillars.
    Type: Application
    Filed: January 14, 2009
    Publication date: July 15, 2010
    Inventors: Chu-Chen Fu, Tanmay Kumar, Er-Xuan Ping, Huiwan Xu
  • Publication number: 20100176489
    Abstract: Disclosed are one-port and two-port microelectromechanical structures including variable capacitors, switches, and filter devices. High aspect-ratio micromachining is used to implement low-voltage, large value tunable and fixed capacitors, and the like. Tunable capacitors can move in the plane of the substrate by the application of DC voltages and achieve greater than 240 percent of tuning. Exemplary microelectromechanical apparatus comprises a single crystalline silicon substrate, and a conductive structure laterally separated from the single crystalline silicon substrate by first and second high aspect ratio gaps of different size, wherein at least one of the high aspect ratio gaps has an aspect ratio of at least 30:1, and is vertically anchored to the single crystalline silicon substrate by way of silicon nitride.
    Type: Application
    Filed: January 10, 2009
    Publication date: July 15, 2010
    Inventors: Farrokh Ayazi, Mina Raieszadeh, Pezhman Monadgemi
  • Publication number: 20100173478
    Abstract: Single-walled carbon nanotube transistor devices, and associated methods of making such devices include a porous structure for the single-walled carbon nanotubes. The porous structure may be anodized aluminum oxide or another material. Electrodes for source and drain of a transistor are provided at opposite ends of the single-walled carbon nanotube devices. A concentric gate surrounds at least a portion of a nanotube in a pore. A transistor of the invention may be especially suited for power transistor or power amplifier applications.
    Type: Application
    Filed: August 21, 2006
    Publication date: July 8, 2010
    Applicant: ATOMATE CORPORATION
    Inventor: Thomas W. Tombler
  • Patent number: 7749884
    Abstract: A method of forming an electronic device can include forming a metallic layer by an electrochemical process over a side of a substrate that includes a semiconductor material. The method can also include introducing a separation-enhancing species into the substrate at a distance from the side, and separating a semiconductor layer and the metallic layer from the substrate, wherein the semiconductor layer is a portion of the substrate. In a particular embodiment, the separation-enhancing species can be incorporated into a metallic layer and moved into the substrate, and in particular embodiment, the separation-enhancing species can be implanted into the substrate. In still another embodiment, both the techniques can be used. In a further embodiment, a dual-sided process can be performed.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: July 6, 2010
    Assignee: AstroWatt, Inc.
    Inventors: Leo Mathew, Dharmesh Jawarani
  • Publication number: 20100163977
    Abstract: A method for fabricating a semiconductor device includes forming a trench in a substrate, forming a gate electrode buried over the trench to form a buried gate pattern, etching portions of the substrate on both sides of the buried gate pattern to a certain depth, performing an ion implantation process on the substrate to form source/drain junctions, and forming metal patterns over the source/drain junctions.
    Type: Application
    Filed: May 28, 2009
    Publication date: July 1, 2010
    Inventor: Sang-Hyun Lee
  • Publication number: 20100167514
    Abstract: A method for fabricating a CMOS integrated circuit (IC) includes providing a substrate having a semiconductor surface, wherein the semiconductor surface has PMOS regions for PMOS devices and NMOS regions for NMOS devices. A gate dielectric layer is formed on the semiconductor surface followed by forming at least a first metal including layer on the gate dielectric layer. A polysilicon or amorphous silicon layer is formed on the first metal including layer to form an intermediate gate electrode stack. A masking pattern is formed on the intermediate gate electrode stack. The polysilicon or amorphous silicon layer is dry etched using the masking pattern to define a patterned intermediate gate electrode stack over the NMOS or PMOS regions, wherein the dry etching stops on a portion of the first metal comprising layer. The masking pattern is removed using a first post etch clean for stripping the masking pattern.
    Type: Application
    Filed: December 26, 2008
    Publication date: July 1, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: BRIAN K. KIRKPATRICK, JINHAN CHOI, RANDALL W. PAK
  • Publication number: 20100167484
    Abstract: A method of patterning a plurality of polysilicon structures includes forming a polysilicon layer over a semiconductor body, and patterning the polysilicon layer to form a first polysilicon structure using a first patterning process that reduces line-edge roughness (LER). The method further includes patterning the polysilicon layer to form a second polysilicon structure using a second patterning process that is different from the first patterning process after performing the first patterning process.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 1, 2010
    Applicant: Texas Instruments Incorporated
    Inventors: Yiming Gu, James Walter Blatchford
  • Publication number: 20100164119
    Abstract: A method of manufacturing a semiconductor device, includes steps of forming an organic insulating film over a semiconductor substrate, irradiating an electron beam to a surface of the organic insulating film, forming recesses in the organic insulating film, forming a conductive material over the organic insulating film and in the recesses, and removing the conductive material on the organic insulating film by a polishing to expose the surface of the organic insulating film and to leave the conductive material buried in recesses of the organic insulating film.
    Type: Application
    Filed: October 21, 2009
    Publication date: July 1, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Satoshi Takesako, Shinichi Akiyama, Tamotsu Owada
  • Publication number: 20100159696
    Abstract: Provided are a microlens mask of an image sensor and a method for forming a microlens using the same. In the method, an insulating layer is formed on a semiconductor substrate comprising a photodiode and a transistor. A passivation layer is formed on the insulating layer. A color filter layer is formed on the insulating layer vertically corresponding to the photodiode through the passivation layer. A microlens photoresist layer is formed over an entire surface of the semiconductor substrate. A microlens mask is formed on the microlens photoresist corresponding to the color filter layer. A one-time exposure process is performed at a light intensity of about 450/0 to about 550/0 dose/focus. The microlens photoresist layer is patterned to form a patterned microlens photoresist layer by removing the photoresist subjected to the exposure process. The patterned microlens photoresist layer is reflowed to form the microlens.
    Type: Application
    Filed: December 22, 2009
    Publication date: June 24, 2010
    Inventor: Jong Man Kim
  • Publication number: 20100148285
    Abstract: A MEMS component includes a chip that has a rear side having a low roughness of less than one tenth of the wavelength at the center frequency of an acoustic wave propagating in the component. Metallic structures for scattering bulk acoustic waves are provided on the rear side of the chip and a material of the metallic structures is acoustically matched to a material of the chip.
    Type: Application
    Filed: December 18, 2009
    Publication date: June 17, 2010
    Inventors: Christian Bauer, Hans Krueger, Werner Ruile, Alois Stelzl
  • Publication number: 20100151692
    Abstract: A method of manufacturing an epitaxial wafer, including a silicon substrate having a surface sliced from single-crystalline silicon and a silicon epitaxial layer deposited on the surface of the silicon substrate, includes an oxygen concentration controlling heat treatment process in which a heat treatment of the epitaxial layer is performed under a non-oxidizing atmosphere after the epitaxial growth such that an oxygen concentration of the surface of the silicon epitaxial layer is set to 1.0×1017 to 12×1017 atoms/cm3 (ASTM F-121, 1979).
    Type: Application
    Filed: December 10, 2009
    Publication date: June 17, 2010
    Applicant: SUMCO CORPORATION
    Inventors: Toshiaki ONO, Yumi HOSHINO
  • Publication number: 20100151668
    Abstract: A method for fabricating an insulation layer includes forming an insulation layer over a nitride layer using a silicon source and a phosphorus source, wherein the insulation layer includes a first insulation layer contacting the nitride layer and a second insulation layer formed on the first insulation layer, wherein the first insulation layer is formed using a higher flow rate of the silicon source and a lower flow rate of the phosphorus source than used with the second insulation layer.
    Type: Application
    Filed: December 30, 2008
    Publication date: June 17, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Yang-Han YOON
  • Publication number: 20100144132
    Abstract: Methods for forming nanodots and/or a patterned material are provided. One such method involves forming a first patterning material over a base. Blades of a nanoimprint lithography template are placed within the first patterning material, wherein the blades extend along the base in a first direction. With the blades within the first patterning material, the first patterning material are cured. The blades are removed from the first patterning material to form a patterned first patterning material. The base is etched using the patterned first patterning material as a pattern to form openings in the base. The patterned first patterning material is removed from the base. A second patterning material is formed over the base and within the openings in the base. Blades of a nanoimprint lithography template are placed within the second patterning material, wherein the blades extend along the base in a second direction, which is generally perpendicular with respect to the first direction.
    Type: Application
    Filed: February 15, 2010
    Publication date: June 10, 2010
    Inventors: Krupakar M. Subramanian, Mirzafer Abatchev
  • Publication number: 20100144141
    Abstract: Provided are a semiconductor device and a method of forming the semiconductor device. The method may include forming a semiconductor pattern on a substrate, forming an interlayer insulating layer including an opening exposing the semiconductor pattern, forming a semiconductor ohmic pattern on the semiconductor pattern, forming an electrode ohmic layer on the semiconductor ohmic pattern, performing a wet etching on the electrode ohmic layer, and forming an electrode pattern on the etched electrode ohmic layer.
    Type: Application
    Filed: November 20, 2009
    Publication date: June 10, 2010
    Inventors: Sang-Su Park, JaeHee Oh
  • Publication number: 20100133692
    Abstract: A silicic coating of 2.4 g/cm3 or higher density, obtained by forming a silicic coating precursor with the use of at least one type of silane compound having a photosensitive functional group and thereafter irradiating the silicic coating precursor with at least one type of light. This silicic coating can be used as a novel barrier film or stopper film for semiconductor device.
    Type: Application
    Filed: February 4, 2010
    Publication date: June 3, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Yasushi Kobayashi, Kouta Yoshikawa, Yoshihiro Nakata, Tadahiro Imada, Shirou Ozaki
  • Publication number: 20100133591
    Abstract: The present invention relates to a method for passivating a semiconductor component having at least one chemosensitive electrode that is blinded by the application of a glass layer. The present invention also relates to a device for detecting at least one substance included in a fluid stream, including at least one semiconductor component acting as a measuring sensor as well as at least one semiconductor component acting as a reference element, the semiconductor components each having a chemosensitive electrode, and the chemosensitive electrode of the semiconductor component acting as the reference element being passivated. For the passivation, a glass layer may be applied at least to the chemosensitive electrode of the semiconductor component acting as reference element.
    Type: Application
    Filed: November 16, 2009
    Publication date: June 3, 2010
    Inventors: Richard Fix, Oliver Wolst, Stefan Henneck, Alexander Martin, Martin Le-Huu
  • Publication number: 20100130007
    Abstract: Embodiments of the present invention generally relates to an apparatus and a method for processing semiconductor substrates. One embodiment provides a method provides a method for processing a substrate comprising forming a seed layer over a substrate having trench or via structures formed therein, coating a portion of the seed layer with an organic passivation film, and immersing the trench or via structures in a plating solution to deposit a conductive material over the seed layer not covered by the organic passivation film.
    Type: Application
    Filed: November 18, 2009
    Publication date: May 27, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JENN-YUE WANG, Hua Chung, Rong Tao, Hong Zhang
  • Patent number: 7723170
    Abstract: A method for forming a transistor of a semiconductor device comprises: forming an isolation film over a semiconductor substrate to define an active region; forming a first recess in an active region (one side) between the isolation films; forming a second recess having the same size as that of the first recess in an active region (the other side) between the isolation film; and forming a gate for filling the first recess and the second recess.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: May 25, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hee Youl Lim
  • Publication number: 20100123220
    Abstract: A shielding structure for a semiconductor device includes a plurality of trenches. The trenches include passivation liners and shield electrodes, which are formed therein. In one embodiment, the shielding structure is placed beneath a control pad. In another embodiment, the shielding structure is placed beneath a control runner.
    Type: Application
    Filed: November 14, 2008
    Publication date: May 20, 2010
    Inventors: Peter A. Burke, Brian Pratt, Prasad Venkatraman
  • Publication number: 20100109098
    Abstract: A method of fabricating a gate of a semiconductor device is provided. In an embodiment, the method includes forming a gate dielectric layer on a semiconductor substrate. An interface layer is formed on the gate dielectric layer. In an embodiment, the gate dielectric layer includes HfO2 and the interface layer includes Hf—N. A work function metal layer may be formed on the interface layer. A device is also provided.
    Type: Application
    Filed: December 19, 2008
    Publication date: May 6, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Simon Su-Horng Lin, Chi-Ming Yang, Chyi-Shyuan Chern, Chin-Hsiang Lin
  • Publication number: 20100112728
    Abstract: Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and/or reuse of said structure.
    Type: Application
    Filed: September 30, 2009
    Publication date: May 6, 2010
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Michael B. Korzenski, Ping Jiang, David W. Minsek, Charles Beall, Mick Bjelopavlic
  • Publication number: 20100105152
    Abstract: A lower electrode film is formed above a semiconductor substrate first, and then a ferroelectric film is formed on the lower electrode film. After that, an upper electrode film is formed on the ferroelectric film. When forming the upper electrode, an IrOx film containing crystallized small crystals when formed is formed on the ferroelectric film first, and then an IrOx film containing columnar crystals is formed.
    Type: Application
    Filed: December 28, 2009
    Publication date: April 29, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Wensheng Wang
  • Publication number: 20100105206
    Abstract: A manufacturing method of a semiconductor device of which cost can be suppressed by using a nanoimprinting method is provided. In the invention, a gate insulating film, a conductive film, and a resist are formed in sequence over a semiconductor film and a resist is hardened while pressing a mold formed with a pattern to the resist. Therefore, the pattern is transferred to the resist, the surface of the resist to which the pattern is transferred is ashed until a part of the conductive film is exposed, the resist having the ashed surface is used a mask, and the conductive film is etched.
    Type: Application
    Filed: December 29, 2009
    Publication date: April 29, 2010
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventor: Shinji MAEKAWA
  • Patent number: 7704870
    Abstract: An interconnection process is described. A substrate having a conductive region formed therein is provided. A dielectric layer is formed on the substrate. A patterned metal hard mask layer having a trench opening is formed on the dielectric layer. A dielectric hard mask layer is formed conformally on the patterned metal hard mask layer and filled in the trench opening. A photoresist pattern is defined to remove a portion of the dielectric hard mask layer and a portion of the dielectric layer to form a first opening in the dielectric layer. The photoresist pattern is removed. A first etching process is performed using the patterned metal hard mask layer as a mask to form a trench and a second opening extending downward from the first opening in the dielectric layer. The second opening exposes the conductive region. A conductive layer is formed in the trench and the second opening.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: April 27, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Hong Ma, Shi-Jie Bai
  • Publication number: 20100099262
    Abstract: In a method of manufacturing a non-volatile memory cell, a self-aligned metal silicide is used in place of a conventional tungsten metal layer to form a polysilicon gate, and the self-aligned metal silicide is used as a connection layer on the polysilicon gate. By using the self-aligned metal silicide to form the polysilicon gate, the use of masks in the etching process may be saved to thereby enable simplified manufacturing process and accordingly, reduced manufacturing cost. Meanwhile, the problem of resistance shift caused by an oxidized tungsten metal layer can be avoided.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 22, 2010
    Inventors: Yi-Hsiu Chen, Yung-Chung Lee, Yider Wu
  • Publication number: 20100090714
    Abstract: An integrated circuit has an inhomogeneous protective layer or coating over a circuit to be protected, and a sensing circuit (80) arranged to sense a first impedance of a part of the protective coating compared to a reference impedance (CO) located on the integrated circuit. The sensing circuit is able to measure a change in the first impedance, e.g. caused by tampering. The sensing circuit has an amplifier (OTA) having a feedback loop, such that the impedance being sensed is in the feedback loop. The sensing circuit can be incorporated in an oscillator circuit (OTA, Comp) so that the frequency depends on the impedance. Where the impedance is a capacitance, sensing electrodes adjacent to the protective layer or coating, form the capacitance. The electrodes can be arranged as selectable interdigitated comb structures, so that the protective layer or coating extends in between the teeth of the comb structures.
    Type: Application
    Filed: January 20, 2008
    Publication date: April 15, 2010
    Applicant: NXP, B.V.
    Inventors: Johannes A. J. Van Geloven, Robertus A.M. Wolters, Nynke Verhaech