With Magnetic Field Patents (Class 315/111.41)
  • Patent number: 11940736
    Abstract: A tin trap device for collecting tin in a chamber device which causes tin to be turned into plasma with laser light in an internal space thereof may include a housing provided with a gas inlet port through which exhaust gas in the chamber device flows and a gas exhaust port through which the exhaust gas is exhausted; and a main heater arranged in the housing, configured to have a temperature equal to or higher than the melting point of tin and lower than the boiling point thereof, and having a projection surface projected toward a direction in which the exhaust gas flows in the gas inlet port cover the gas inlet port.
    Type: Grant
    Filed: August 10, 2021
    Date of Patent: March 26, 2024
    Assignee: Gigaphoton Inc.
    Inventors: Gota Niimi, Yoshifumi Ueno, Shinji Nagai
  • Patent number: 11939966
    Abstract: The present technology is directed to plasma systems and associated methods, including propulsion systems for flight vehicles. A representative system includes a plurality of coils. The coils include a first coil positioned along a force axis, a second coil positioned along the force axis and spaced apart from the first coil, and a third coil that is magnetically shielded. A controller is operatively coupled to the coils and is configured to (a) increase energy to the first coil to generate a magnetic field in a portion of the plasma adjacent to the first coil, (b) decrease energy to the first coil and increase energy to the second coil to translate the resulting superposed magnetic field through the plasma to a position adjacent the second coil, and (c) transfer energy from the second coil to the third coil and decrease energy to the second coil to reduce the magnetic field in the plasma.
    Type: Grant
    Filed: December 9, 2020
    Date of Patent: March 26, 2024
    Assignee: ELECTRIC SKY HOLDINGS, INC.
    Inventor: Jeffrey Greason
  • Patent number: 11937361
    Abstract: A cathode emitter assembly includes a cathode tube having a gas feed portion and a plasma outflow portion; an outer annular cathode insert in the plasma outflow portion of the cathode tube; an inner cathode insert in the plasma outflow section of the cathode tube; and an annular plasma emission portion defined between an inner surface of the outer annular cathode insert and an outer surface of the inner cathode insert.
    Type: Grant
    Filed: February 9, 2022
    Date of Patent: March 19, 2024
    Assignee: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Michael McDonald, Marcel Georgin
  • Patent number: 11930583
    Abstract: A system for heat conditioning an area of Earth includes an Earth-orbiting satellite. The satellite includes a power supply, a precursor gas supply, and one or more double helicon plasma beam generators coupled to the power supply and the gas supply and configured to generate a high-density plasma and further configured with a magnetic nozzle to maintain a shape of the beam; therefore, the top surface area of the beam is maximized. The generated high-density plasma provides enhanced electromagnetic waves absorption, reflection, and deflection of incoming solar light and electromagnetic radiation, thereby, reducing the heat striking the area of the Earth.
    Type: Grant
    Filed: October 24, 2022
    Date of Patent: March 12, 2024
    Inventor: Ali Kaddoura
  • Patent number: 11929182
    Abstract: Systems and methods that facilitate forming and maintaining FRCs with superior stability as well as particle, energy and flux confinement and, more particularly, systems and methods that facilitate forming and maintaining FRCs with elevated system energies and improved sustainment utilizing neutral beam injection and high harmonic fast wave electron heating.
    Type: Grant
    Filed: March 10, 2022
    Date of Patent: March 12, 2024
    Assignee: TAE TECHNOLOGIES, INC.
    Inventor: Xiaokang Yang
  • Patent number: 11923168
    Abstract: A method to compensate for drift while controlling a charged particle beam (CPB) system having at least one charged particle beam controllable in position. Sources of drift include mechanical variations in the stage supporting the sample, beam deflection shifts, and environmental impacts, such as temperature. The method includes positioning a sample supported by a stage in the CPB system, monitoring a reference fiducial on a surface of the sample from a start time to an end time, determining a drift compensation to compensate for a drift that causes an unintended change in the position of a first charged particle beam relative to the sample by a known amount over a period of time based on a change in the position of the reference fiducial between the start time and the end time, and adjusting positions of the first charged particle beam by applying the determined drift compensation during an operation of the CPB system.
    Type: Grant
    Filed: October 3, 2022
    Date of Patent: March 5, 2024
    Assignee: FIBICS INCORPORATED
    Inventors: Michael William Phaneuf, Ken Guillaume Lagarec
  • Patent number: 11894208
    Abstract: Vacuum electron devices (VEDs) having a plurality of two-dimensional layers of various materials are bonded together to form one or more VEDs simultaneously. The two-dimensional material layers are machined to include features needed for device operation so that when assembled and bonded into a three-dimensional structure, three-dimensional features are formed. The two-dimensional layers are bonded together into a sandwich-like structure. The manufacturing process enables incorporation of metallic, magnetic, ceramic materials, and other materials required for VED fabrication while maintaining required positional accuracy and multiple devices per batch capability.
    Type: Grant
    Filed: November 12, 2021
    Date of Patent: February 6, 2024
    Assignee: ELVE INC.
    Inventor: Diana Gamzina Daugherty
  • Patent number: 11862501
    Abstract: An electrostatic chuck includes a plurality of ceramic substrates each having a step formed at a peripheral edge portion of one surface, the ceramic substrates being arranged adjacent to each other so that the steps face each other, electrodes each embedded in each of the plurality of ceramic substrates, and a filling portion that fills a groove portion formed by the facing steps of the adjacent ceramic substrates.
    Type: Grant
    Filed: September 9, 2021
    Date of Patent: January 2, 2024
    Assignee: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Norio Shiraiwa, Kazuya Takada
  • Patent number: 11821067
    Abstract: A deposition apparatus, which forms a film on a substrate, includes a rotation unit configured to rotate a target about a rotating axis; a striker configured to generate an arc discharge; a driving unit configured to drive the striker so as to make a close state which the striker closes to a side surface around the rotating axis of the target to generate the arc discharge; and a control unit configured to control rotation of the target by the rotation unit so as to change a facing position on the side surface of the target facing the striker in the close state.
    Type: Grant
    Filed: April 28, 2020
    Date of Patent: November 21, 2023
    Assignee: CANON ANELVA CORPORATION
    Inventor: Masahiro Atsumi
  • Patent number: 11744002
    Abstract: A magnetic confinement system includes a magnetic mirror device that includes a chamber to hold a target plasma and a coil arrangement to generate a magnetic field configuration in the chamber to confine the target plasma in cylindrically-symmetric form in the chamber, the magnetic field configuration having open ends. The magnetic confinement system further includes plasma guns to generate plasma pistons and project the plasma pistons at the open ends of the magnetic field configuration. In operation, the plasma pistons converge towards each other to close the open ends of the magnetic field configuration and to compress and heat the target plasma.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: August 29, 2023
    Assignee: University of New Hampshire
    Inventor: James J. Connell
  • Patent number: 11721532
    Abstract: Embodiments include methods and apparatuses that include a plasma processing tool that includes a plurality of magnets. In one embodiment, a plasma processing tool may comprise a processing chamber and a plurality of modular microwave sources coupled to the processing chamber. In an embodiment, the plurality of modular microwave sources includes an array of applicators positioned over a dielectric plate that forms a portion of an outer wall of the processing chamber, and an array of microwave amplification modules. In an embodiment, each microwave amplification module is coupled to one or more of the applicators in the array of applicators. In an embodiment, the plasma processing tool may include a plurality of magnets. In an embodiment, the magnets are positioned around one or more of the applicators.
    Type: Grant
    Filed: June 3, 2021
    Date of Patent: August 8, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Philip Allan Kraus, Thai Cheng Chua, Mani Subramani
  • Patent number: 11703918
    Abstract: An electronic modulating device is provided. The electronic modulating device includes a substrate, a plurality of first modulating electrodes disposed on the substrate, and a plurality of second modulating electrodes disposed on the substrate. The area of one of the first modulating electrodes is greater than the area of one of the second modulating electrodes. The ratio of the number of first modulating electrodes to the number of second modulating electrodes is in a range from 0.5 to 2.0.
    Type: Grant
    Filed: July 1, 2021
    Date of Patent: July 18, 2023
    Assignee: INNOLUX CORPORATION
    Inventors: Tsung-Han Tsai, Kuan-Feng Lee
  • Patent number: 11699575
    Abstract: An electron cyclotron resonance (ECR) thruster includes a magnetic field source configured to generate a magnetic field, a thruster body that defines a chamber, the thruster body being disposed relative to the magnetic field source such that the magnetic field is present in the chamber and such that a magnetic nozzle is established, an antenna configured to propagate radio frequency (RF) power within the chamber, and a waveform generator coupled to the antenna to generate an RF waveform for the RF power. The waveform generator is configured such that the RF waveform includes multiple frequencies.
    Type: Grant
    Filed: September 16, 2020
    Date of Patent: July 11, 2023
    Assignee: The Regents of the University of Michigan
    Inventors: Benjamin Alexander Jorns, Benjamin Natan Wachs
  • Patent number: 11659647
    Abstract: A method for generating atmospheric pressure cold plasma inside a hand-held unit discharges cold plasma with simultaneously different rf wavelengths and their harmonies. The unit includes an rf tuning network that is powered by a low-voltage power supply connected to a series of high-voltage coils and capacitors. The rf energy signal is transferred to a primary containment chamber and dispersed through an electrode plate network of various sizes and thicknesses to create multiple frequencies. Helium gas is introduced into the first primary containment chamber, where electron separation is initiated. The energized gas flows into a secondary magnetic compression chamber, where a balanced frequency network grid with capacitance creates the final electron separation, which is inverted magnetically and exits through an orifice with a nozzle. The cold plasma thus generated has been shown to be capable of accelerating a healing process in flesh wounds on animal laboratory specimens.
    Type: Grant
    Filed: May 18, 2021
    Date of Patent: May 23, 2023
    Assignee: PLASMOLOGY4, INC.
    Inventor: Gregory A. Watson
  • Patent number: 11609967
    Abstract: Method and apparatus for monitoring and diagnosing gun performance is derived that can determine proper gun operation and if not operating properly diagnose potential causes for abhorrent operation. The voltage produced by the gun is sampled in real time and the frequency spectrum produced analyzed using FFT and then reducing the FFT pattern down to a set of numerical values or a signature that can be compared to known signatures for both correct operation and abnormal operation. Using best fit techniques the cause of any abnormal behavior can then be identified. The method can also be used to predict the end of hardware life and aid in production scheduling and spare parts acquisition by providing advanced notice of wear and usage.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: March 21, 2023
    Assignee: OERLIKON METCO (US) INC.
    Inventors: Ronald J. Molz, Dave Hawley, Samrawit Hermosillo, Jose Colmenares
  • Patent number: 11610761
    Abstract: Systems and methods for plasma processing are disclosed. A method includes applying pulsed power to a plasma processing chamber with an excitation source during a first processing step with a first duty cycle and applying, during the first processing step, an asymmetric periodic voltage waveform to a substrate support to produce a first plasma sheath voltage between a substrate and a plasma. Pulsed power is applied to the plasma processing chamber with the excitation source during a second processing step with a second duty cycle and during the second processing step, a different asymmetric periodic voltage waveform is applied to the substrate support to produce a different plasma sheath voltage between the substrate and the plasma.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: March 21, 2023
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Kevin Fairbairn, Denis Shaw, Daniel Carter
  • Patent number: 11594397
    Abstract: A circuit tuning radio frequency (RF) power. The circuit includes a low to mid frequency (LF/HF) tuning circuit including a variable LF/MF capacitor coupled in series with an LF/MF inductor. The LF/MF tuning circuit is coupled between ground and a common node configured to receive an RF input. The circuit includes a high frequency (HF) tuning circuit coupled in parallel to the LF/MF tuning circuit between ground and the common node. The HF tuning circuit includes a variable HF capacitor coupled in series with an HF inductor. Cross parallel isolation occurs between the LF/MF inductor of the LF/MF tuning circuit and the HF inductor of the HF tuning circuit when adjusting the variable LF/MF capacitor or variable HF capacitor.
    Type: Grant
    Filed: March 17, 2021
    Date of Patent: February 28, 2023
    Assignee: Lam Research Corporation
    Inventors: Eller Y. Juco, Karl Frederick Leeser, David French, Sunil Kapoor, Aaron Bingham, David Alan Metz, Brett Herzig, Jacob L. Hiester, Brian Knight
  • Patent number: 11551910
    Abstract: Plasma generators and methods of generating plasma are disclosed. Electrodes in a reaction zone are energized by a high voltage power source that is electrically insulated from the electrodes. A first conductor array, preferably a coil, is electrically coupled to the power source and electrically insulated from the electrodes. A second conductor array, preferably a coaxial coil nested within the first conductor array, is electrically coupled to the electrodes. Electromagnetic induction between the first conductor array and the second conductor array is used to energize the electrodes and generate a plasma in the reaction zone. One or more microwaves are further directed at the plasma to form microwave plasma, either in parallel or in series. Such plasmas are used to reform a hydrocarbon feedstock into low C hydrocarbons, carbon, or hydrogen. Plasma generators combining induction plasma with serial microwave plasmas are further contemplated.
    Type: Grant
    Filed: June 2, 2022
    Date of Patent: January 10, 2023
    Assignee: PLASMA FLOW, LLC
    Inventors: Garrett Hill, Andrew Murray
  • Patent number: 11497111
    Abstract: A low-erosion radio frequency ion source is disclosed having a hollow body with conductive interior walls that define a cylindrical cavity, with a gas supply inlet for plasma-forming gases and a power supply inlet for injecting radio frequency energy into the cavity; an expansion chamber connected to the cavity by means of a plasma outlet hole; an ion-extraction aperture in contact with the expansion chamber; coaxial conductor disposed in the cavity, parallel to the longitudinal axis thereof, one or both ends of the coaxial conductor being in contact with a circular interior wall of the body, forming a coaxial resonant cavity; the coaxial conductor having a conductive protuberance opposite the plasma outlet hole and which extends radially into the cavity. It substantially reduces the erosion of the conductive materials.
    Type: Grant
    Filed: July 1, 2019
    Date of Patent: November 8, 2022
    Assignee: CENTRO DE INVESTIGACIONES ENERGETICAS, MEDIOAMBIENTALES Y TECHNOLOGICAS (CIEMAT)
    Inventor: Rodrigo Varela Alonso
  • Patent number: 11462383
    Abstract: Linear fiducials including notches or chevrons with known angles relative to each other are formed such that each branch of a chevron appears in a cross-sectional face of the sample as a distinct structure. Therefore, when imaging the cross-section face during the cross-sectioning operation, the distance between the identified structures allows unique identification of the position of the cross-section plane along the Z axis. Then a direct measurement of the actual position of each slice can be calculated, allowing for dynamic repositioning to account for drift in the plane of the sample and also dynamic adjustment of the forward advancement rate of the FIB to account for variations in the sample, microscope, microscope environment, etc. that contributes to drift. An additional result of this approach is the ability to dynamically calculate the actual thickness of each acquired slice as it is acquired.
    Type: Grant
    Filed: December 30, 2020
    Date of Patent: October 4, 2022
    Assignee: FIBICS INCORPORATED
    Inventors: Michael William Phaneuf, Ken Guillaume Lagarec
  • Patent number: 11450511
    Abstract: Methods and apparatus are used for adjusting film stress profiles on substrates. An apparatus may include a PVD chamber with a pedestal configured to support a substrate during processing on a cover positioned on an uppermost surface of the pedestal. The cover is constructed with multiple electrodes such as, for example, a first electrode, a second electrode, and a third electrode. The second electrode is positioned between and electrically separated from the first electrode and the second electrode. A substrate stress profile tuner is electrically connected to the first electrode, the second electrode, and the third electrode and configured to independently adjust an RF voltage level of at least the second electrode and the third electrode relative to RF ground to produce a more uniform film stress profile.
    Type: Grant
    Filed: December 29, 2020
    Date of Patent: September 20, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lizhong Sun, Yi Yang, Jian Janson Chen, Chong Ma, Xiaodong Yang
  • Patent number: 11335467
    Abstract: Systems and methods that facilitate forming and maintaining FRCs with superior stability as well as particle, energy and flux confinement and, more particularly, systems and methods that facilitate forming and maintaining FRCs with elevated system energies and improved sustainment utilizing neutral beam injection and high harmonic fast wave electron heating.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: May 17, 2022
    Assignee: TAE TECHNOLOGIES, INC.
    Inventor: Xiaokang Yang
  • Patent number: 11315763
    Abstract: Plasma source assemblies comprising an RF hot electrode having a body and at least one return electrode spaced from the RF hot electrode to provide a gap in which a plasma can be formed. An RF feed is connected to the RF hot electrode at a distance from the inner peripheral end of the RF hot electrode that is less than or equal to about 25% of the length of the RF hot electrode. The RF hot electrode can include a leg and optional triangular portion near the leg that extends at an angle to the body of the RF hot electrode. A cladding material on one or more of the RF hot electrode and the return electrode can be variably spaced or have variable properties along the length of the plasma gap.
    Type: Grant
    Filed: September 1, 2020
    Date of Patent: April 26, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kallol Bera, Dmitry A. Dzilno, Anantha K. Subramani, John C. Forster, Tsutomu Tanaka
  • Patent number: 11259397
    Abstract: In a microwave plasma source, a tubular magnet portion has a first opening end and a second opening end. The first opening end has a first polarity, and the second opening end has a second polarity. The tubular body is surrounded by the tubular magnet portion. A first magnetic circuit portion closes the first opening end. A second magnetic circuit portion is disposed opposite to the first magnetic circuit portion. The second magnetic circuit portion has a first opening part. An antenna penetrates the first magnetic circuit portion, is introduced to a space, and supplies microwave power to the space. The nozzle portion has a second opening part that has a smaller opening area than the first opening part and communicates with the first opening part. When an inner diameter of the tubular body is represented by a (mm), and a microwave cutoff wavelength of the microwave power being supplied to the space is represented by ? (mm), the microwave plasma source is configured to satisfy a relational expression ?>3.
    Type: Grant
    Filed: November 22, 2018
    Date of Patent: February 22, 2022
    Inventor: Hitoshi Kuninaka
  • Patent number: 11231023
    Abstract: The invention provides an electrothermal RF plasma production system and thruster design, and associated components, that may be used in terrestrial applications and/or miniaturized to the mass, volume, and power budget of Cube Satellites (CubeSats) to meet the propulsion needs of the small satellite (˜5 to ˜500 kg) constellations and larger satellite buses.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: January 25, 2022
    Assignee: PHASE FOUR, INC.
    Inventor: Mohammed Umair Siddiqui
  • Patent number: 11195696
    Abstract: An electron beam generator, a plasma processing apparatus, and a plasma processing method, the electron beam generator including a side insulator configured to surround the substrate support, the side insulator having an electron beam chamber therein; a first electrode embedded in the side insulator and adjacent to a first side wall of the electron beam chamber; a second electrode on a second side wall of the electron beam chamber; and a guide in an outlet of the electron beam chamber, the guide including slits through which electron beams generated in the electron beam chamber are transmittable into the process chamber.
    Type: Grant
    Filed: April 16, 2020
    Date of Patent: December 7, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dongkyu Shin, Sangki Nam, Soonam Park, Akira Koshiishi, Kyuhee Han
  • Patent number: 11139148
    Abstract: A method for controlling a generator connected to a load involving obtaining a first measured value (M1) related to a forward power calculated with respect to reference impedance (Zc). The method involves adjusting an output of the generator in order that M1 tends to a first setpoint. The method further involves adjusting the first setpoint in order to adjust a second measured value (M2) of a conventional measure of generator output towards a second setpoint, where wherein the forward power calculated with respect to the reference impedance (Zc) is equal to: ? v + Z c ? i ? 2 2 ? real ? ? ( Z c ) where v is a voltage at a reference point, which may be between the generator and load input, and i is a current flowing relative to the load (e.g., current toward the load or a negative value of current toward the generator) at the reference point.
    Type: Grant
    Filed: October 11, 2018
    Date of Patent: October 5, 2021
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Gideon Johannes Jacobus Van Zyl
  • Patent number: 11134559
    Abstract: Provided is a fluid-cooled melting tool that can be used in methods and systems for manufacturing objects by additive manufacturing techniques, especially titanium and titanium alloy objects. In some configurations, the melting tool is configured to be a plasma transferred arc (PTA) torch and the deposition rate can be increased by increasing the flow rate of electric charge through the electrode made possible by the dual circuit cooling design of the torch. The fluid-cooled melting tools provided herein exhibit stable and repeatable PTA characteristics over wide range of current including current of 400 amps or more, whether pulsed or non-pulsed, and plasma gas flow inputs.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: September 28, 2021
    Assignee: Norsk Titanium AS
    Inventors: Ferdinand Stempfer, Tom-Erik Falla, Brede Vigdal
  • Patent number: 11081341
    Abstract: The present disclosure provides an apparatus for fabricating a semiconductor device with target sputtering, including a chamber for accommodating a consumable target, a target accumulative consumption counter, wherein the target accumulative consumption counter provides a signal correlated to an amount of the consumable target being consumed, and a power supply communicates with the consumable target counter, wherein the power supply provides a power output according to the signal.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: August 3, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Shih Wei Bih, Yen-Yu Chen, Yi-Ming Dai
  • Patent number: 11067906
    Abstract: A droplet catcher system of an EUV lithography apparatus is provided. The droplet catcher system includes a catcher body, a heat transfer part, a heat exchanger, and a controller. The catcher body has an outer surface. The heat transfer part is directly attached to the outer surface of the catcher body. The heat exchanger is thermally coupled to the heat transfer part. The controller is electrically coupled to the heat exchanger.
    Type: Grant
    Filed: March 2, 2020
    Date of Patent: July 20, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Yu Tu, Po-Chung Cheng, Hsiao-Lun Chang, Li-Jui Chen, Han-Lung Chang
  • Patent number: 11003080
    Abstract: A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: May 11, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Ramaswamy, Srinivas D. Nemani
  • Patent number: 10699882
    Abstract: In one embodiment, a semiconductor manufacturing apparatus includes a treatment chamber configured to treat a substrate with plasma, a first annular coil configured to generate a first magnetic field to be applied to the plasma, and a second annular coil configured to generate a second magnetic field to be applied to the plasma. The apparatus further includes a first electric current supplying module configured to supply, to the first annular coil, a first electric current flowing in a first direction, and cause the first annular coil to generate the first magnetic field. The apparatus further includes a second electric current supplying module configured to supply, to the second annular coil, a second electric current flowing in a second direction that is different from the first direction, and cause the second annular coil to generate the second magnetic field.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: June 30, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Ryo Suemitsu, Takashi Ohashi
  • Patent number: 10510513
    Abstract: Provided is a plasma processing device which processes an object to be processed using plasma. The plasma processing device includes: a processing container configured to perform a processing by the plasma therein; and a plasma generation mechanism including a high-frequency generator disposed outside the processing container to generate high-frequency waves. The plasma generation mechanism is configured to generate the plasma in the processing container using the high-frequency waves generated by the high-frequency generator. The high-frequency generator includes a high-frequency oscillator configured to oscillate the high-frequency waves and an injection unit configured to inject a signal into the high-frequency oscillator. The signal has a frequency which is the same as a fundamental frequency oscillated by the high-frequency oscillator and has reduced different frequency components.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: December 17, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazushi Kaneko, Kazunori Funazaki, Hideo Kato
  • Patent number: 10505348
    Abstract: An apparatus and method for determining the health of a plasma system by igniting a plasma within a plasma confining volume generate an ignition signal with an ignition circuit and apply the ignition signal between a biased region and a grounded region in the vicinity of the plasma confining volume. A parameter in the ignition circuit is sensed, and the sensed parameter is compared to a first parameter threshold. A condition associated with the plasma confining volume is determined if the sensed parameter differs from the first voltage threshold, and a corrective action can be taken.
    Type: Grant
    Filed: September 15, 2017
    Date of Patent: December 10, 2019
    Assignee: MKS Instruments, Inc.
    Inventors: Atul Gupta, Colin Sanford, Joshua Lamontagne, Kevin Wenzel
  • Patent number: 10403466
    Abstract: A gas switch includes a gas-tight housing containing an ionizable gas, an anode disposed within the gas-tight housing, and a cathode disposed within the gas-tight housing, where the cathode includes a conduction surface. The gas switch also includes a control grid positioned between the anode and the cathode, where the control grid is arranged to receive a bias voltage to establish a conducting plasma between the anode and the cathode. In addition, the gas switch includes a plurality of magnets selectively arranged to generate a magnetic field proximate the conduction surface that reduces the kinetic energy of charged particles striking the conduction surface and raises the conduction current density at the cathode surface to technically useful levels.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: September 3, 2019
    Assignee: General Electric Company
    Inventors: Timothy John Sommerer, Svetlana Selezneva
  • Patent number: 10304669
    Abstract: An RF generator in a dual frequency RF generation system. The RF generator detects IMD components resulting from interaction of the two frequencies. The IMD is reduced by adjusting the phase of the RF signal output by the RF generator. In various configurations, the IMD may also be reduced by applying a power adjustment value.
    Type: Grant
    Filed: January 21, 2018
    Date of Patent: May 28, 2019
    Assignee: MKS Instruments, Inc.
    Inventors: David J. Coumou, Dennis M. Brown, Eldridge M. Mount, IV
  • Patent number: 10269546
    Abstract: An RF generator in a dual frequency RF generation system. The RF generator detects IMD components resulting from interaction of the two frequencies. The IMD is reduced by adjusting the phase of the RF signal output by the RF generator. In various configurations, the IMD may also be reduced by applying a power adjustment value.
    Type: Grant
    Filed: January 21, 2018
    Date of Patent: April 23, 2019
    Assignee: MKS Instruments, Inc.
    Inventors: David J. Coumou, Dennis M. Brown, Eldridge M. Mount, IV
  • Patent number: 9792255
    Abstract: A method of calculating an electronic state of a material by using a calculation device, wherein the calculation device sets a set containing, as elements, a plurality of operation models, where each of operation models provides an approximate solution to the electronic state of the material, determines an optimized operation model that are close in distance in a space formed by the set while defining a direction in which the calculated self-consistent solutions of the effective Hamiltonian of an electron system continuously change, evaluates a variational energy of the electron system by the self-consistent solution, updates the operation model so that the evaluated variational energy approaches an energy of an exact solution to be calculated and further, so that the variational energy forms a monotonically decreasing convex function, and calculates the exact solution of the electronic state from one or a plurality of variational energy series.
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: October 17, 2017
    Assignee: OSAKA UNIVERSITY
    Inventors: Koichi Kusakabe, Isao Maruyama
  • Patent number: 9699878
    Abstract: In detecting the unignited state of plasma based on a reflected wave, false detection during a normal plasma ignition time is prevented so as to detect the unignited state during plasma abnormality. When a pulse output is supplied to a plasma load by pulse driving from an RF power source, the unignited state of plasma abnormality is detected on the basis of the continuous state of the reflected wave, whereby a total reflected wave generated in the unignited state during plasma abnormality is detected in distinction from the reflected wave generated in the normal ignited state. With this configuration, in detecting the unignited state by comparing a peak value of the reflected wave with a threshold, it is possible to prevent that a reflected wave generated in the normal ignited state is erroneously detected as the total reflected wave that is generated in the abnormal unignited state.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: July 4, 2017
    Assignee: KYOSAN ELECTRIC MFG. CO., LTD.
    Inventors: Itsuo Yuzurihara, Satoshi Aikawa, Ryosuke Ohma
  • Patent number: 9635749
    Abstract: An apparatus for generating extreme ultraviolet light includes a droplet generator which provides a droplet to react with light from a light source to generate extreme ultraviolet light, a droplet collector which collects the droplet, and a droplet detector which includes a plurality of pressure sensors, the pressure sensors detect a position of the droplet provided to the droplet collecting unit.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: April 25, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Joo Kim, Jin-Seok Heo, Do-Hyun Seo, Da-Hae Lee, Jae-Pil Lee, Sung-Jo Hwang
  • Patent number: 9457683
    Abstract: The invention relates to a method for discharging at least one capacitor (2) of an electric circuit (1), said electric circuit (1) also comprising: an electric stator winding (4) of a polyphase rotary electric machine, said winding (4) comprising a plurality of coils (6) which each form a stator phase and which are not being coupled to one another; and a switching system (5) disposed between the capacitor (2) and the electric stator winding (4) and comprising a plurality of controllable switching cells (10). According to the method of the invention, the coils (6) are electrically powered by the capacitor (2) by means of the switching system (5), of which the switching cells (10) are controlled such that the homopolar electric current passes through the electric stator winding (4).
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: October 4, 2016
    Assignee: Valeo Systemes de Controle Moteur
    Inventor: Antoine Bruyere
  • Patent number: 9460925
    Abstract: A substrate processing system that includes a substrate processing chamber having one or more sidewalls that at least partially define a substrate processing region and extend away from a bottom wall of the substrate processing chamber at an obtuse angle; a source material holder configured to hold a source material within the substrate processing region; a plasma gun operatively coupled to introduce a plasma beam into the substrate processing region; one or more magnets operatively arranged to generate a magnetic field that guides the plasma beam to the source material holder; and a substrate carrier configured to hold one or more substrates within the substrate processing region.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: October 4, 2016
    Assignee: SolarCity Corporation
    Inventors: Wei Wang, Jianming Fu, Zheng Xu, Kenneth Reese Reynolds, Ollivier Jacky Lefevre
  • Patent number: 9392680
    Abstract: A plasma generator 1 includes a first electrode 12 provided in a gas storage section 5; and a second electrode 13 separated from the first electrode 12 and provided in a manner such that at least the portion coupled with the first electrode 12 is in contact with a liquid 17 in a liquid storage section 4. Electric discharge is caused between the first electrode 12 and the second electrode 13 so as to produce plasma in a gas region in the liquid 17 in the liquid storage section and produce hydroxyl radical from water contained in the liquid 17 and oxygen contained in the gas. A voltage controller 60 controls a voltage applied by a plasma power source 15 depending on conditions.
    Type: Grant
    Filed: January 25, 2012
    Date of Patent: July 12, 2016
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Wataru Sanematsu, Akihiko Saitoh, Kenji Narita, Masaharu Machi
  • Patent number: 9336997
    Abstract: A plasma source assembly for use with a processing chamber is described. The assembly includes a multi-feed RF power connection to a single or multiple RF hot electrodes.
    Type: Grant
    Filed: March 17, 2014
    Date of Patent: May 10, 2016
    Assignee: Applied Materials, Inc.
    Inventor: Kallol Bera
  • Patent number: 9305749
    Abstract: A plasma source includes a plasma vessel that includes a dielectric material that encloses a cavity of a toroidal shape. The toroidal shape defines a toroidal axis therethrough. The vessel forms input and output connections, each of the input and output connections being in fluid communication with the cavity. One or more metal plates are disposed adjacent to the plasma vessel for cooling the plasma vessel. A magnetic core is disposed along the toroidal axis such that respective first and second ends of the magnetic core extend beyond axially opposed sides of the plasma vessel. First and second induction coils are wound about the respective first and second ends of the magnetic core. A plasma is generated in the cavity when an input gas is supplied through the input connection and an oscillating electrical current is supplied to the first and second induction coils.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: April 5, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Zheng John Ye, Jay D. Pinson, II, Hiroji Hanawa, Juan Carlos Rocha-Alvarez
  • Patent number: 9266180
    Abstract: An arc evaporation source having fast film-forming speed includes: at least one circumference magnet surrounding the circumference of a target, wherein the magnetization direction of the magnet runs orthogonal to the target surface; a non-ring shaped first permanent magnet on the target's rear surface side has a polarity in the same direction as the circumference magnet, and is arranged so that its magnetization direction runs orthogonal to the target's surface; a non-ring shaped second permanent magnet arranged either on the rear surface side of the first permanent magnet or between the first permanent magnet and the target, so as to leave a gap from the first permanent magnet, has a polarity in the same direction as the circumference magnet, and is arranged so that its magnetization direction runs orthogonal to the surface of the target; and a magnetic body between the first permanent magnet and the second permanent magnet.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: February 23, 2016
    Assignee: Kobe Steel, Ltd.
    Inventors: Shinichi Tanifuji, Kenji Yamamoto, Homare Nomura, Yoshinori Kurokawa, Naoyuki Goto
  • Patent number: 9228570
    Abstract: In a propulsion system, an electrohydrodynamic (EHD) body force is used to control the flow of a propellant through a micro channel, expansion slot, plenum chamber, or other flow region and thereby increase the specific impulse created by a propulsion system. In an embodiment, a plurality of electrodes are arranged and powered to create a plasma discharge, which can impart an EHD body force to a fluid. Various configurations of electrodes can be used to control the flow of the fluid into, out of, or through the flow region. In an embodiment, the use of EHD body forces can reduce, or substantially eliminate, shear forces on the surface of a plenum chamber, micro channel, or expansion slot of the propulsion system, resulting in a smooth flow of the propellant and increased thrust.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: January 5, 2016
    Assignee: University of Florida Research Foundation, Inc.
    Inventor: Subrata Roy
  • Patent number: 9103329
    Abstract: An electric propulsion system comprising a plasma chamber having first and second apertures for producing ion beams. Respective first and second coils are arranged about the chamber to produce an electromagnetic field in regions adjacent to the apertures, and are driven differentially by a radio frequency (RF) drive module. By driving the coils differentially, the electric field in the region of the two apertures can be differentially controlled, and a variation of output thrusts at the two apertures is possible. In this way a net thrust can be produced, which net thrust is varied by controlling the drive to the two coils.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: August 11, 2015
    Assignee: QINETIQ LIMITED
    Inventors: Michael Henry Corbett, Neil Charles Wallace
  • Patent number: 9076623
    Abstract: When using micro-resonant structures, a resonant structure may be turned on or off (e.g., when a display element is turned on or off in response to a changing image or when a communications switch is turned on or off to send data different data bits). Rather than turning the charged particle beam on and off, the beam may be moved to a position that does not excite the resonant structure, thereby turning off the resonant structure without having to turn off the charged particle beam. In one such embodiment, at least one deflector is placed between a source of charged particles and the resonant structure(s) to be excited. When the resonant structure is to be turned on (i.e., excited), the at least one deflector allows the beam to pass by undeflected. When the resonant structure is to be turned off, the at least one deflector deflects the beam away from the resonant structure by an amount sufficient to prevent the resonant structure from becoming excited.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: July 7, 2015
    Assignee: Advanced Plasmonics, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson, Michael E. Maines
  • Patent number: 9041292
    Abstract: Controlling a phase and/or a frequency of a RF generator. The RF generator includes a power source, a sensor, and a sensor signal processing unit. The sensor signal processing unit is coupled to the power source and to the sensor. The sensor signal processing unit controls the phase and/or the frequency of a RF generator.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: May 26, 2015
    Assignee: MKS Instruments, Inc.
    Inventor: David J. Coumou