Wafer Cassette Transporting Patents (Class 414/940)
  • Patent number: 6187060
    Abstract: A processing apparatus of the invention includes a rotary processing part for rotating and drying plural sheets of objects to be processed collectively in order to allow the object to be rotated immediately after they are accommodated in the rotary processing part and a balance adjusting mechanism for adjusting balance of the rotary processing part by moving counterweights in accordance with the number of the objects. Further the processing apparatus further includes a counter for counting the number of the objects accommodated in the rotary processing part and a control unit for controlling the balance adjusting operation of the balance adjusting mechanism in accordance with a predetermined relationship between the number of objects and the respective positions of the counterweights.
    Type: Grant
    Filed: September 17, 1998
    Date of Patent: February 13, 2001
    Assignees: Tokyo Electron Limited, Ohmiya Ind. Co., Ltd.
    Inventors: Tatsuya Nishida, Kenji Miyachi, Syujiro Oka
  • Patent number: 6183186
    Abstract: Wafers from cassettes placed in a cassette holder at a loading station are transferred by a loader to a conveyor and through a wafer processing stage. From the conveyer, the wafers are delivered to an unloader at an unloading station. The unloader transfers the wafers to cassettes held in a cassette holder at the unloading station. The cassettes may be loaded into the rear of the loading station and removed from the rear of the unloading station. The cassette holders support plural stacks of at least two cassettes which are independently indexed upwardly and downwardly. The stacks of cassettes are also carried by a cassette positioner which is transversely shiftable to position a first stack in a wafer transfer zone while a second stack is in a cassette transfer zone spaced from the wafer transfer zone and vice versa. The loader may include an arm which is linearly translated and is rotated about its longitudinal axis to invert the wafer for delivery to a destination location.
    Type: Grant
    Filed: August 29, 1997
    Date of Patent: February 6, 2001
    Assignee: Daitron, Inc.
    Inventors: John Howells, Andrew P. Gorman, Randall W. Peltola
  • Patent number: 6183358
    Abstract: An ultra-clean environment for fabrication of semiconductor devices which includes an air transmissive conveyor for conveying semiconductor wafers thereon and a plurality of robotic elements. A clean channel encloses the conveyor. The clean channel includes a filtered air intake extending from one surface of the conveyor and an air exhaust region extending in a direction opposite the air intake. The robotic elements is disposed external to and communicating with the clean channel. Laminar flow of filtered air is provided from the air intake to the air exhaust. The conveyor preferably traverses a serpentine path. The path of the conveyor is substantially bi-directional in that it travels in a first direction in then in the opposite direction immediately adjacent the portion traveling in the first direction. Robotic elements are disposed external to the clean channel, communicate with the clean channel and are at a reduced pressure relative to the clean channel.
    Type: Grant
    Filed: November 10, 1998
    Date of Patent: February 6, 2001
    Assignee: Texas Instruments Incorporated
    Inventor: Robert M. Adair, Jr.
  • Patent number: 6168667
    Abstract: A resist-processing apparatus comprising a plurality of first processing units, a second processing unit, a first transport unit, a second transport unit, and an interface section. The first processing units are designed to process a wafer, and the second processing unit to process the wafer. The first transport unit has a first arm mechanism for loading and unloading the wafer into and from each of the first processing units. The second transport unit opposes the first transport unit, with the first processing units located between the first transport unit and the second transport unit. The second transport unit has a second arm mechanism for loading and unloading the wafer into and from at least one of the first processing units and into and from the second processing unit. The interface section is to be provided adjacent to an exposure apparatus. The first arm mechanism transfers the wafer between the first transport unit and the exposure apparatus.
    Type: Grant
    Filed: May 27, 1998
    Date of Patent: January 2, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Kazutoshi Yoshioka
  • Patent number: 6169490
    Abstract: An installation for protecting the outlet port of a stocking unit. The installation includes an outlet port having four wafer boat supporters, two wafer boat sensors and a set of light-intercepting sensors. Through the light-intercepting sensors and the wafer boat sensors, any improperly placed wafer boat or items inside the outlet port area can be detected. Hence, collisions between a wafer boat forwarded by the stocking unit and any wafer boat or items left inside the outlet is prevented.
    Type: Grant
    Filed: March 20, 2000
    Date of Patent: January 2, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Denis Fan
  • Patent number: 6168364
    Abstract: A vacuum clean box 30 includes a box body 31 having a side aperture 32 and a small hole 33 for intake/exhaust, a side lid 34 for closing the side aperture 32 by a pressure difference between inside and outside thereof, and an additional lid 35 for similarly closing the small hole 33 for intake/exhaust. The vacuum clean box 30 is connected to a gate aperture 42 of a clean room 40 and a vacuum changer 50 evacuates a hermetically closed space outside the box body including the additional lid 35 to cancel the pressure difference between inside and outside of the additional lid 35 and then opens the small hole 33 for intake/exhaust. After the inside of the vacuum clean box 30 is turned into the atmospheric pressure through the small hole 33 for intake/exhaust, the side lid 34 is drawn into the clean room 40 to make communication between the inside space of the vacuum clean box and the inside of the clean room 40, and then objects to be transferred are transferred between the vacuum clean box and the clean room.
    Type: Grant
    Filed: April 19, 1999
    Date of Patent: January 2, 2001
    Assignee: TDK Corporation
    Inventor: Toshihiko Miyajima
  • Patent number: 6169935
    Abstract: In a stocker entry task control method employed in a semiconductor wafer cassette transportation apparatus that has high transportation ability of a semiconductor wafer cassette, a host computer sends an interrogation to a stocker in which a semiconductor wafer cassette is to be stored whether there is an available storage site therein. The stocker ascertains the storage site if available. Then, the host computer sends a transportation task instruction to an inter-stocker transport device or an automatic carrier. Alternatively, an entry task instruction is sent to the stocker.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: January 2, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Junji Iwasaki, Junichi Katsube, Yasushi Itami
  • Patent number: 6162006
    Abstract: A stackable cassette for testing at least one separate wafer during the processing of a plurality of semiconductor wafers is disclosed. The stackable cassette includes a bottom surface which conforms to a top portion of a base cassette having a plurality of wafers. In addition, the stackable cassette includes two or more supports which extend vertically from the bottom surface and a top surface horizontally connected to the two supports. The supports include ribs which form channels for holding at least one wafer. When processing the plurality of wafers, the stackable cassette is placed on top of a base cassette. A specified processed wafer is placed within the stackable cassette. The stackable cassette is then removed for inspection of the test wafer.
    Type: Grant
    Filed: May 22, 1998
    Date of Patent: December 19, 2000
    Assignee: ASM America, Inc.
    Inventors: Ronald R. Stevens, Ravinder Aggarwal
  • Patent number: 6157866
    Abstract: An automated material handling system is presented for a manufacturing facility divided into separate fabrication areas. The automated material handling system plans and carries out the movement of work pieces between fabrication areas and maintains a database indicating the location of each work piece within the manufacturing facility. In one embodiment, the automated material handling system accomplishes the containerless transfer of semiconductor wafers through a wall separating a first and second fabrication areas. The wafers are transported within containers (e.g., wafer boats). The material handling system includes a number of transfer tools, including air lock chambers, mass transfer systems, robotic arms, and stock areas. The material handling system also includes a control system which governs the operations of the transfer tools as well as the dispersal of containers.
    Type: Grant
    Filed: June 19, 1997
    Date of Patent: December 5, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Danny C. Shedd, Elfido Coss, Jr.
  • Patent number: 6152669
    Abstract: A mechanical interface apparatus which is capable of loading semiconductor wafers stored in a sealed container into a processing apparatus without adversely affecting the surfaces of the semiconductor wafers due to exposure to external air or dust. When a sealed container 100 is placed on top of a processing apparatus 200, latch mechanisms 201 are activated to engage with a flange 103, so as to affix the container body 101. Then, valves 3 and 5 are opened to perform a gas purge, after which a drive mechanism provided inside a lock operating mechanism 220 is operated in order to withdraw lock arms 111 from concave portions 103a, thereby unlocking the bottom lid 110. Then, wafer cassettes 106 inside the container are lowered to a predetermined position by means of an in-apparatus elevator mechanism 202, and the wafer cassettes are loaded into the processing apparatus 200 by a cassette loading mechanism.
    Type: Grant
    Filed: November 8, 1996
    Date of Patent: November 28, 2000
    Assignee: Shinko Electric Co., Ltd.
    Inventors: Teruya Morita, Masanao Murata, Hitoshi Kawano, Tsuyoshi Tanaka, Hiroyuki Oyobe, Toshiyuki Takaoka
  • Patent number: 6152680
    Abstract: The present invention concerns a device for the rotation of a wafer cassette such as between a horizontal orientation and a vertical orientation. The wafer cassette rotation device includes a carriage for receiving and supporting a wafer cassette, a receptacle in which the carriage is supported and rotates, and a drive mechanism for rotating the carriage together with any wafer cassette that has been inserted into the carriage, between horizontal and vertical orientations. The carriage is shaped to receive a standard wafer cassette, the carriage open at both front and top portions, includes wafer cassette supports. Wheels may be attached to opposing side edges of the bottom of the carriage. A cam drive mechanism is used to move the carriage within the receptacle.
    Type: Grant
    Filed: August 26, 1997
    Date of Patent: November 28, 2000
    Assignee: Daitron, Inc.
    Inventors: John Howells, Robert H. Niemeyer, III
  • Patent number: 6142723
    Abstract: A carrier transfer apparatus for automatically unloading and/or loading semiconductor device packages from and/or to printed circuit boards loaded into a carrier. The apparatus includes a housing, an input portion, an elevator portion and an output portion.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: November 7, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ju Il Kang
  • Patent number: 6143083
    Abstract: A substrate processing apparatus comprises a substrate transfer chamber; a substrate processing chamber disposed on a first side wall of the substrate transfer chamber; an intermediate substrate holding chamber disposed on a second side wall of the substrate transfer chamber; a first substrate holder disposed within the intermediate substrate holding chamber; a second substrate holder disposed within the substrate processing chamber; a first substrate transfer robot, disposed within the substrate transfer chamber, for transferring the substrate between the substrate processing chamber and the intermediate substrate holding chamber; a first gate valve disposed between the substrate processing chamber and the substrate transfer chamber; a second gate valve disposed between the substrate transfer chamber and the intermediate substrate holding chamber; an atmospheric pressure section located opposite to the substrate transfer chamber with respect to the intermediate substrate holding chamber; a third valve dispos
    Type: Grant
    Filed: December 2, 1999
    Date of Patent: November 7, 2000
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Shuji Yonemitsu, Toshikazu Karino, Hisashi Yoshida, Shinichiro Watahiki, Yuji Yoshida, Hideo Shimura, Takeshi Sugimoto, Yukinori Aburatani, Kazuhito Ikeda
  • Patent number: 6139239
    Abstract: System for treating wafers. It is proposed to place a number of furnaces in one area and wafer racks filled with wafers are introduced into each of these furnaces. The wafer racks are located in trolleys which are filled from cassettes in a central loading/removal device. The central loading/removal device serves for all furnaces.
    Type: Grant
    Filed: September 23, 1999
    Date of Patent: October 31, 2000
    Assignee: ASM International N.V.
    Inventor: Gert-Jan Snijders
  • Patent number: 6138721
    Abstract: A tilt and go assembly included as part of a load port interface assembly is disclosed for providing quick and easy attachment and adjustment of the load port interface assembly to a BOLTS interface. When a load port interface assembly according to the present invention is positioned adjacent to a BOLTS interface, the assembly is tilted away from the BOLTS interface, so that a socket in the load port interface assembly may raise upward slightly and be seated on the ball joint. Thereafter, the upper portions of the load port interface assembly may be tilted upward so that the assembly is roughly parallel and adjacent to the BOLTS interface. Once the load port interface assembly is seated on the ball joint of the BOLTS interface, the vertical and lateral positions of the load port interface assembly may be adjusted as desired with respect to the BOLTS interface.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: October 31, 2000
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Edward J. Cortez, John D. Kyffin, Michael Ng
  • Patent number: 6135051
    Abstract: An end effector in a transport module includes a body and a web defined by a panel and first and second flanges at opposite panel ends. The first flange is attached to the body. The second flange is displaced from, and transverse to, the panel. Rods extending through the flanges into the body rigidify the web. Springs disposed in holes in the body and extending between the first flange and the body balance the web relative to the body. The second flange and a ledge on the body adjacent the first flange support the substrate opposite ends with the central portion of the substrate displaced from the body and the web. A robotic assembly formed from a plurality of arms is coupled to the body. The arms are pivotable relative to one another and to the body between contracted and expanded relationships. With the arms contracted, the body and the web are rotatable in the transport module between positions facing the cassette module or the process module.
    Type: Grant
    Filed: April 15, 1998
    Date of Patent: October 24, 2000
    Assignee: Shamrock Technology Corp.
    Inventor: Robert George Begin
  • Patent number: 6135702
    Abstract: An apparatus for preventing the over-tilt of a gripper assembly in a cassette loading device is described. The apparatus provides an additional sensor arm system rigidly mounted to the gripper assembly such that the additional sensor arm not only provides a method for it to reach an over-tilt position, but also provides a mechanical stop to manually stop the gripper assembly from making further motion beyond the maximum downward-tilt position of the arm. The apparatus may further be provided with a warning system and a manual override device such that a machine operator may be alerted of the over-tilt condition and further, may manually override the sensor system by temporarily restoring power to the gripper assembly and returning it to a safe position.
    Type: Grant
    Filed: June 3, 1998
    Date of Patent: October 24, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Gwo-Jou Huang, Ping-Yu Hu, Ton-Li Lee, Chen-Shin Lin
  • Patent number: 6135168
    Abstract: A standard mechanical interface wafer pod gas filling system, comprising: a platform, carrying a wafer pod cover of a wafer pod; a pod hold-down latch mechanism; a port, carrying a wafer pod base, with lateral gaps between the platform and the port; a pod door lock/unlock mechanism, mounted on the lower side of the platform; a port door up/down mechanism; several nozzles, mounted on one lateral side of the platform, having widening ends, wherein the lateral gap located opposite to the nozzles is wider than the other lateral gaps; a charging box, mounted on the lower side of the platform; and a gas supply unit for supplying inert gas through the nozzles and taking out air from the wafer pod.
    Type: Grant
    Filed: December 22, 1999
    Date of Patent: October 24, 2000
    Assignee: Industrial Technology Research Institute
    Inventors: Tung-Fang Yang, Tzong-Ming Wu
  • Patent number: 6135698
    Abstract: A universal interface and transfer apparatus is disclosed which may be configured either as an indexer or a load port opener. In each configuration, the universal interface and transfer apparatus includes a port plate having a central opening, and a port door fitting within and sealing the central opening when no pod is present on the apparatus. The apparatus further includes a base and a frame mounted on and extending up from a front of the base. A modular drive assembly is mounted within an exterior side of the frame, which drive assembly includes a linear drive screw, and a motor and torque transmission mechanism for rotating the linear drive screw. A carriage is mounted on the linear drive screw such that rotation of the linear drive screw results in vertical translation of the carriage.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: October 24, 2000
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Edward J. Cortez, J. Mark DiPaola, Robert R. Netsch
  • Patent number: 6129496
    Abstract: A stocker used in a semiconductor wafer cassette transportation apparatus comprises a plurality of stockers for storing a plurality of semiconductor wafer cassettes. Each stocker comprises manual output and input ports for exit and entry of semiconductor wafer cassettes by an operator, in which each port has an ID card reader/writer arranged lower than the bottom surface of the cassette and facing a communication arrangement at the bottom surface of an ID card attached on the side surface of a cassette. An automatic carrier transports cassettes between stockers and a processing apparatus, and an inter-stocker transport device transports cassettes between stockers. The number of semiconductor wafer cassettes that can be mounted on the manual output port is determined according to the maximum number of semiconductor wafer cassettes requested to be loaded by the processing apparatus. An embodiment of the invention includes automatic carrier input and output ports.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: October 10, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Junji Iwasaki, Junichi Katsube, Yasushi Itami
  • Patent number: 6120371
    Abstract: The docking and purging system docks a modular isolation container for substrates used in manufacturing integrated circuits for purging and processing in a processing environment. A door portion of the docking and purging system includes a shelf for receiving the modular isolation container before insertion in a docking chamber formed in the housing connected for communication with the processing environment. A vacuum manifold with suction cups is provided for gripping and removing the modular isolation container door to allow access to the interior chamber of the modular isolation container. The docking chamber and interior chamber of the modular isolation container can also be purged of particulate contaminates by filtered air or inert gas ducted to the docking chamber.
    Type: Grant
    Filed: October 21, 1998
    Date of Patent: September 19, 2000
    Assignee: Semifab Incorporated
    Inventors: Glenn A. Roberson, Jr., Robert M. Genco, G. Kyle Mundt
  • Patent number: 6120229
    Abstract: A semiconductor wafer batchloading system comprises a portable carrier for supporting and transporting wafers in a substantially particle free environment. A carrier door is movable between an open position and a closed position overlying a carrier port for sealing the interior of the carrier from the surrounding environment. The carrier is movable on a platform between withdrawn and advanced positions for delivering multiple wafers to a wafer receiving station. A plurality of spaced rack members support the wafers, each being aligned with an associated one of a plurality of spaced shelves on the wafer receiving station. The wafer receiving station may be located within a load lock defining a chamber having a substantially particle free environment and including a load lock port with a load lock door movable between a closed position overlying the load lock port and an open position spaced therefrom. A locking mechanism releasably locks the carrier door to the carrier.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: September 19, 2000
    Assignee: Brooks Automation Inc.
    Inventor: Christopher Hofmeister
  • Patent number: 6111637
    Abstract: A method and an apparatus for examining wafers includes a wafer cassette having a capacity for holding a plurality of wafers located on each of first and second locaters. The wafer cassettes are fixedly held on the first and second locaters during the wafer examination. A first indicator shows that the wafer cassettes are fixedly held on the first and second locaters. A robot arm sequentially carries each of the wafers between the first locator, an aligner, a scanning chamber and the second locater to examine the wafers. The wafer cassettes are released when the examination is complete, and a second indicator shows that the examination is complete.
    Type: Grant
    Filed: December 18, 1996
    Date of Patent: August 29, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Sang-Kyu Hahm, Young-Kyu Lim, Byoung-Seol Ahn
  • Patent number: 6106213
    Abstract: An automated door assembly is provided for sealing an opening in a barrier to a contaminant-free environment suitable for semiconductor wafer processing. The door assembly comprises a base pivotable toward and away from the opening along an arcuate path and a closure plate linearly movably coupled to the base and configured to a seal the opening in the barrier. The closure plate is movable in synchronization with the pivoting of the base to traverse a horizontal linear path into contact with the barrier to close the opening. A vacuum system is provided in conjunction with the door assembly to extract particles from the sealed environment. A sensor disposed on the closure plate is operable to sense the position of wafers or other objects disposed adjacent the opening, to detect misalignment or omission thereof.
    Type: Grant
    Filed: November 3, 1998
    Date of Patent: August 22, 2000
    Assignee: PRI Automation, Inc.
    Inventor: Jeffrey M. Denker
  • Patent number: 6106582
    Abstract: An apparatus for positioning an object at multiple positions within an enclosure has a moveable positioning member attached to a linear motor, both of which are positioned within the enclosure. The moveable positioning member is adapted to receive and support the object. A control signal directs the motion of the linear motor which moves the moveable positioning member and, thus, the object. An insulated conductor extends through the wall of the enclosure and allows electrical communication through the enclosure wall. The insulated conductor communicates with a power supply and a control mechanism exterior the enclosure. A flexible connection line, adapted to avoid contact with the enclosure, provides communication between an insulated conductor and the linear motor so that the linear motor is in communication with the power supply and the control mechanism.
    Type: Grant
    Filed: June 25, 1997
    Date of Patent: August 22, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Roger Heyder, Thomas Brezoczky, Deepak Manoharlal
  • Patent number: 6102647
    Abstract: A cart for transporting objects includes a wheeled support structure, a carousel and at least first and second object supports. The carousel is rotatably mounted to the support structure. The object supports are mounted to the carousel. The respective object supports are located so as each to support a separate respective object at a separate respective location on a revolution of a carousel.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: August 15, 2000
    Assignee: Intel Corporation
    Inventor: Hoon-Yeng Yap
  • Patent number: 6099059
    Abstract: A device for transferring an object between at least two locations with a gripper which grips the object at a handle element after it approaches the object with gripper elements has the aim of preventing deformation or destruction of the object during the approach of the gripper. This should minimize particle generation resulting from firm holding. The gripper has a sensor for detecting the object and its distance during approach, wherein the time at which the object is detected defines the determination of the remaining approach path for a controlled approach and the start of the gripping process.
    Type: Grant
    Filed: September 22, 1998
    Date of Patent: August 8, 2000
    Assignee: Brooks Automation GmbH
    Inventors: Klaus Schultz, Volker Weisbach, Bernd Gey, Andreas Mages
  • Patent number: 6099242
    Abstract: A wafer aligning apparatus for semiconductor device fabrication includes a cassette support on which is mounted a cassette holding wafers immersed in a non-conducting fluid. A guide roller is composed of carbon fiber reinforced polyether and rotates in contact with circumferential edges of the wafers.
    Type: Grant
    Filed: August 7, 1998
    Date of Patent: August 8, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-sik Hong, Sung-soo An
  • Patent number: 6099241
    Abstract: To cope with recent LCD or PDP of larger size, an efficient transfer technology is demanded in manufacturing a larger substrate. It calls for a transfer method for such larger substrate with a less required space, and a substrate transfer cassette enabling such method. A technology is disclosed, which comprises a substrate transfer cassette (50) containing a plurality of substrates, a dolly (55) for loading the substrate transfer cassette (50), and a plurality of cassette holders (70) having a rotating arm (71) which can rotate in the vertical direction, wherein a substrate cassette (50) is transferred from a position near another cassette holder to a position near a destination cassette holder with the dolly (55), raised by the rotating arm (71) on the destination cassette holder (70) from the lower section to the upper section of the dolly (55), and moved from the dolly (55) to the cassette holder (70).
    Type: Grant
    Filed: February 19, 1998
    Date of Patent: August 8, 2000
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Isamu Inoue, Koichi Kotera, Osamu Matsunaga, Kiyohiko Kitagawa, Takayuki Taguchi
  • Patent number: 6086976
    Abstract: A semiconductor wafer comprising a single crystalline lattice suitable for use in the manufacture of integrated circuits, namely computer chips and dies, wherein a diameter of the wafer is greater than approximately 150 millimeters and wherein the wafer includes a first hole extending through the wafer. The hole is adapted to facilitate handling of the wafer without directly contacting a surface of the wafer. The wafer preferably includes a primary flat and the first hole includes a flat side having a predetermined and known orientation with respect to the primary flat of the wafer. In one embodiment, the wafer further includes a guide hole formed near the first hole such that the center-points of the first hole and the guide hole are oriented with a predetermined and known orientation with respect to the primary flat of the wafer.
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 6086323
    Abstract: A method for unloading silicon wafers contained in a cassette from a sealed pod and supplying the wafers to an IC manufacturing process. The method includes receiving a base of the pod onto a loading platform of a pod loader interface and then unlocking the pod cover from the base. While maintaining the wafers in a clean mini-environment, the method raises the pod cover away from the base, contacts the now exposed cassette with an end effector of an articulated arm, secures the cassette to the end effector, and activates the arm to transport the wafers out of mini-environment for supplying the wafers to an IC manufacturing process. Preferably, the method further includes raising the articulated arm to lift the cassette before transferring the wafers to the process. Even more preferred, the method directs a flow of clean air within the mini-environment horizontally past the wafers.
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: July 11, 2000
    Assignee: Fortrend Engineering Corporation
    Inventors: John M. Rush, Torben Ulander, Michael T. Verdon
  • Patent number: 6082798
    Abstract: A robotic gripper, the grippers of which engage as positive-fit elements on a magazine wall. The gripper is used to grip magazines for lead frames. This prevents any pressure stress on the magazines. The positive-fit elements furthermore, because of their shape, prevent the lead frames from slipping out of the magazine under high accelerations.
    Type: Grant
    Filed: October 23, 1998
    Date of Patent: July 4, 2000
    Assignee: Siemens AG
    Inventors: Gerhard Moges, Michael Kratzer, Mark Ogden
  • Patent number: 6082949
    Abstract: A load port opener for separating a pod top from a pod door so that a wafer-carrying cassette may be accessed and/or transferred from the pod into a semiconductor processing station, to which the load port opener is attached. The load port opener comprises a base, an inner support plate seated on the base, an outer support plate surrounding the inner support plate, and mechanisms for raising the outer support plate away from the inner support plate. Initially, a sealed SMIF pod having a wafer-carrying cassette therein is located on top of the load port opener, such that the pod door is supported on the inner support plate, and the pod top is supported on the outer support plate. Once located on the load port opener, the pod top is decoupled from the pod door by mechanisms within the inner support plate, and thereafter the outer support plate is raised upward with the top supported thereon.
    Type: Grant
    Filed: October 11, 1996
    Date of Patent: July 4, 2000
    Assignee: Asyst Technologies, Inc.
    Inventor: Frederick T. Rosenquist
  • Patent number: 6083566
    Abstract: The present invention relates in a system and method for handling and processing substrates for magnetic and optical media and other types of substrates, such as wafers and lenses, requiring thin-film coatings. The system includes input and output locks which act as buffers between atmosphere and the high vacuum within the system and a transfer/main chamber which is comprised of a variable number of chamber modules. The system also includes various mechanisms for moving the substrates and the substrate carriers within the system, and components for dealing with the process and environmental requirements.
    Type: Grant
    Filed: May 26, 1998
    Date of Patent: July 4, 2000
    Inventor: Andrew B. Whitesell
  • Patent number: 6079927
    Abstract: An automated wafer buffer is provided for use with a wafer processing system. The wafer buffer includes an I/O port for loading and unloading wafer containers, each holding a batch of wafers, a storage structure for storing up to a predetermined number of wafer containers and a container port for holding at least one wafer container during transfer of wafers to and between the wafer container and the processing system. A container transfer mechanism transfers the wafer containers to and between the I/O port, the storage structure and the container port. A wafer transfer mechanism transfers wafers to and between a wafer container at the container port and the processing system.
    Type: Grant
    Filed: April 22, 1998
    Date of Patent: June 27, 2000
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Richard S. Muka
  • Patent number: 6074515
    Abstract: In a substrate processing apparatus receiving substrates held in a common carrier in a horizontal attitude, the substrates are transferred in the horizontal attitude from the common carrier to an exclusive carrier. The exclusive carrier is rotatable on a horizontal axis. By rotating the exclusive carrier, the substrates are turned from the horizontal attitude to a vertical attitude. Then, the substrates held in the vertical attitude are taken out of the exclusive carrier and transferred to a processing part for processing in the vertical attitude. This allows a simple and speedy turn of the plurality of substrates. Further, even the apparatus for processing the substrates in a vertical attitude can transfer the substrates into and out of the apparatus in the horizontal attitude by using the common carrier.
    Type: Grant
    Filed: March 20, 1998
    Date of Patent: June 13, 2000
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Izuru Iseki, Seiichiro Sato, Yusuke Muraoka
  • Patent number: 6074154
    Abstract: A substrate transfer system comprising a cassette table for mounting a cassette which has an opening portion for loading and unloading a substrate and a cover detachably provided to the opening portion, process portion for processing the substrate housed in a cassette on the cassette table, a transfer arm mechanism for taking out the substrate from the cassette table, transferring it to process units G1 to G5, and returning a processed substrate to the cassette on the cassette table, partition members provided between the transfer arm mechanism and the cassette table, for separating an atmosphere on the side of the transfer arm mechanism from that on the side of the cassette table, a passage formed in the partition member so as to face the opening portion of the cassette on the cassette table, for passing the substrate taken out from the cassette on the cassette table by the transfer arm mechanism and returning the substrate to the cassette on the cassette table, cassette moving mechanisms for moving the open
    Type: Grant
    Filed: August 11, 1997
    Date of Patent: June 13, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Masami Akimoto, Kazuhiko Ito, Mitiaki Matsushita, Masatoshi Kaneda, Yuji Matsuyama
  • Patent number: 6071059
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by using of an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: January 5, 1998
    Date of Patent: June 6, 2000
    Assignee: Brooks Automation GmbH
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6070731
    Abstract: An IC receiving tray storage device is provided which is capable of securedly identifying the category of the tested ICs sorted for each category and received in the corresponding tray in the unloader section after end of test. Mounted to the tray storage is a casing comprising a generally rectangular base plate and a plurality of outer walls standing substantially upright and separately from each other on the base plate. A category identifying indicator is attached to at least one outer wall of the casing. This category identifying indicator indicates the category of ICs received in the tray. Also, in the base plate of the casing is formed an opening 71 through which a vertically movable unit is free to move. By the category identifying indicator, the category of the tested ICs received in the tray can be easily confirmed after the tray storage device has been taken out from the IC handler.
    Type: Grant
    Filed: August 1, 1997
    Date of Patent: June 6, 2000
    Assignee: Advantest Corporation
    Inventors: Yoshito Kobayashi, Hiroto Makamura
  • Patent number: 6068668
    Abstract: A method for forming a semiconductor device in a semiconductor device manufacturing apparatus (20) having a sensor (30) activated extensible shuttle (28). In a fabrication environment shuttle (28) is housed within semiconductor device manufacturing apparatus (20), where an outer door (32) is closed flush with an outer wall of the apparatus (20). As a substrate carrier (38) is moved near the apparatus (20), sensor (30) activates opening of outer door (32) and extension of shuttle (28) out of the apparatus (20) into the fabrication environment. In one embodiment, shuttle (28) has a sensor which is used to determine if carrier (38) is placed on shuttle (28) within a predetermined time, allowing retraction of shuttle (28) until it is required. The present invention increases the available operative space within the fabrication environment, and provides a clean mini-environment within apparatus (20).
    Type: Grant
    Filed: March 31, 1997
    Date of Patent: May 30, 2000
    Assignee: Motorola, Inc.
    Inventor: Sal Mastroianni
  • Patent number: 6065964
    Abstract: The present invention relates to a chained thermal processing installation under rarefied atmosphere including several processing cells linked in a horizontal plane to a common air-tight chamber provided with handling means for transferring a load from one cell to another. The common chamber is a cylinder with a horizontal axis, at least one end of which is arranged to receive a module in the form of a cylindrical extension to which additional cells are connected.
    Type: Grant
    Filed: December 2, 1998
    Date of Patent: May 23, 2000
    Assignee: Etudes et Constructions Mecaniques
    Inventor: Laurent Pelissier
  • Patent number: 6066210
    Abstract: A substrate processing apparatus comprises a substrate transfer chamber; a substrate processing chamber disposed on a first side wall of the substrate transfer chamber; an intermediate substrate holding chamber disposed on a second side wall of the substrate transfer chamber; a first substrate holder disposed within the intermediate substrate holding chamber; a second substrate holder disposed within the substrate processing chamber; a first substrate transfer robot, disposed within the substrate transfer chamber, for transferring the substrate between the substrate processing chamber and the intermediate substrate holding chamber; a first gate valve disposed between the substrate processing chamber and the substrate transfer chamber; a second gate valve disposed between the substrate transfer chamber and the intermediate substrate holding chamber; an atmospheric pressure section located opposite to the substrate transfer chamber with respect to the intermediate substrate holding chamber; a third valve dispos
    Type: Grant
    Filed: August 5, 1996
    Date of Patent: May 23, 2000
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Shuji Yonemitsu, Toshikazu Karino, Hisashi Yoshida, Shinichiro Watahiki, Yuji Yoshida, Hideo Shimura, Takeshi Sugimoto, Yukinori Aburatani, Kazuhito Ikeda
  • Patent number: 6062808
    Abstract: A clean transfer method and an apparatus therefor capable of facilitating storage and transfer of transferred objects by a vacuum clean box and realizing transfer of the objects to various processing units other than a vacuum unit. A vacuum clean box and a clean unit are airtightly connected to each other. The vacuum clean box includes a first shutter acting also as a lid and arranged so as to airtightly close a first opening of the box. The clean unit is provided with a second opening selectively closed by a second shutter. Airtight connection between the vacuum clean box and the clean unit is carried out while keeping the first and second openings closed by the first and second shutters, respectively, to thereby form a closed space therebetween which the first and second shutters face. Then, the closed space is evacuated to a vacuum, followed by opening of only the first shutter.
    Type: Grant
    Filed: March 24, 1998
    Date of Patent: May 16, 2000
    Assignee: TDK Corporation
    Inventors: Sho Masujima, Eisaku Miyauchi, Toshihiko Miyajima, Hideaki Watanabe
  • Patent number: 6050768
    Abstract: According to an automatic carrier control method of a semiconductor wafer cassette transportation apparatus having high semiconductor wafer cassette transportation ability, an automatic carrier carries a semiconductor wafer cassette to an automatic carrier related inlet port of a stocker under control of a host computer. The automatic carrier confirms whether a semiconductor wafer cassette is left on the inlet port. If there is no preceding semiconductor wafer cassette, a semiconductor wafer cassette is placed on the inlet port. If there is a preceding semiconductor wafer cassette, the automatic carrier waits for a predetermined time at inlet port for the preceding semiconductor wafer cassette to be removed. When the preceding semiconductor wafer cassette is not removed at an elapse of a predetermined time, an error is issued to the automatic carrier.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: April 18, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Junji Iwasaki, Junichi Katsube, Yasushi Itami
  • Patent number: 6048154
    Abstract: A dual stage load lock is provided for transfer of semiconductor wafers between an environment at atmospheric pressure and a high vacuum environment, such as a wafer processing system. The dual stage load lock includes a first load lock chamber and a second load lock chamber separated by a dividing ledge which extends a distance inwardly from the inner wall of the load lock assembly. The lower load lock chamber selectively communicates with a transfer chamber of the processing system, and is maintained at high vacuum. The upper load lock chamber selectively communicates with the external environment at atmospheric pressure. Therefore, the environment of the upper load lock chamber may vary between atmospheric pressure, when wafers are transferred between the load lock and the outside environment, and high vacuum, when the wafers are transferred between the first and second chambers of the load lock. The load lock may include modular chamber segments that can be arranged in a variety of configurations.
    Type: Grant
    Filed: October 2, 1996
    Date of Patent: April 11, 2000
    Assignee: Applied Materials, Inc.
    Inventor: Joe Wytman
  • Patent number: 6044874
    Abstract: First and second wall portions respectively spread along first and second opposing inner surfaces of a housing portion, and a plurality of vent pores that are distributed along the first and second inner surfaces are formed in the first and second wall portions. Hence, when an ambient gas is supplied to a space between the first inner surface and the first wall portion and another ambient gas is simultaneously discharged from a space between the second inner surface and the second wall surface, a laminar flow of an ambient gas directed from the first inner surface toward the second inner surface is formed to be parallel to a plate-like body held by a base portion.
    Type: Grant
    Filed: May 19, 1998
    Date of Patent: April 4, 2000
    Assignee: Sony Corporation
    Inventor: Koichiro Saga
  • Patent number: 6042372
    Abstract: A vertical heat treatment apparatus for semiconductor wafers (W) including a heat treating furnace (19) which is heated to 600.degree. C. or higher. In the heat treating furnace (19), the wafers (W) are subjected to batch treatment while they are placed on a boat (16). A preparatory vacuum chamber (102) is airtightly connected to a lower side of the heat treating furnace (19). Disposed in the preparatory vacuum chamber (102) are a horizontal transfer mechanism (201) and a vertical transfer mechanism (202) for transferring the boat (16). The two transfer mechanisms (201 and 202) are supported by support members (29a and 33a) mounted on a mechanical base (28). The preparatory vacuum chamber (102) and the support members (29a and 33a) are airtightly connected to each other by means of bellows.
    Type: Grant
    Filed: June 24, 1998
    Date of Patent: March 28, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Kazunari Sakata, Tamotsu Tanifuji, Akihiko Tsukada
  • Patent number: 6033175
    Abstract: A device that aligns and couples a movable object to a destination includes fixed and movable coupling elements that interengage with one another. The fixed coupling elements are provided in a fixed location at the destination and the movable coupling elements are provided on the movable object. The fixed coupling elements include a guiding device and a mechanism that fixes an ultimate coupling position of the object. The fixing mechanism is included in the guiding device. The guiding device allows movement of the object in a first direction so that it can reach the ultimate coupling position, which is determined by the fixing mechanism. The guiding device further blocks movement of the object in a direction perpendicular to the first direction. The movable coupling elements are separated into first elements that engage in the guiding device and second elements that engage with the fixing mechanism.
    Type: Grant
    Filed: October 13, 1998
    Date of Patent: March 7, 2000
    Assignee: Jenoptik Aktiengesellschaft
    Inventors: John C. Pflueger, Lawrence R. Gravell
  • Patent number: 6033521
    Abstract: A tilt mechanism for periodically tilting a cassette configured to hold a plurality of wafers or workpieces such that the wafers or workpieces become gravity-loaded against a rear portion of the cassette. The tilt mechanism is mounted entirely above a worktable of a CMP or other processing machine and comprises a housing which houses a circular cam having a spiral groove formed therein. A tilt arm is pivotally mounted to the housing and extends vertically between a lower end which is adjacent the cam and an upper end which is fixed to a platform supporting a cassette holding a plurality of workpieces. A cam follower is attached to the lower end of the arm and projects into the groove. Rotary motion of the cam effects pivotal movement of the tilt arm which, in turn, effects tilting of the support platform and the cassette.
    Type: Grant
    Filed: June 4, 1997
    Date of Patent: March 7, 2000
    Assignee: SpeedFam-IPEC Corporation
    Inventors: Robert F. Allen, Ricardo T. Jordan
  • Patent number: 6030167
    Abstract: An apparatus for loading wafers into horizontal quartz tube, the apparatus includes a base plate, holding plate, trolley main body and two supporting bases. The base plate has a plurality of stages, which is lifted by a loading rod and fixed atop thereon, for placing wafers. The holding plate has a plurality of fixing screws and altitude adjusting screws for supporting the base plate and changing an altitude of the base plate to match an altitude of wafer boat. The trolley main body, which supports the holding plate by supporting pillars, provides with a plurality of position holes for carrying the holding plate and moving the base plte. The two supporting bases are connected by a solid plate, whose both ends are respectively attached at hollows formed at the middle of each of the two supporting bases. The two supporting bases are provided with a pair of slide bearings on either side of the solid plate.
    Type: Grant
    Filed: August 12, 1997
    Date of Patent: February 29, 2000
    Assignee: United Microeletronics Corp.
    Inventors: Peter Yu-Tsai Lin, Eric Chu