Wafer Cassette Transporting Patents (Class 414/940)
  • Publication number: 20020198623
    Abstract: A method and apparatus for providing distributed material management and flow control in an integrated circuit (IC) factory. The IC factory comprises a factory stocker, a plurality of process bays and a factory transport agent for moving wafer cassettes between the bay and the stocker. Each of the bays comprises a bay stocker, a plurality of tools, a mini-stocker and a bay transport agent for moving wafers amongst the bay components. The apparatus uses partitioned stockers to facilitate deadlock avoidance or deadlock resolution. Additionally, various algorithms are used to detect wafer cassette movement situations where deadlocks may result from a wafer cassette movement within a bay and for resolving deadlocks when they occur.
    Type: Application
    Filed: June 26, 2001
    Publication date: December 26, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Dusan Jevtic, Raja S. Sunkara
  • Patent number: 6493961
    Abstract: A processing unit for a substrate has a vertical thermal processing furnace 4 having a bottom and an opening 4a provided at the bottom. A boat 3 holding substrates W in vertical multistairs can be placed on a first lid 17, and the first lid 17 can open and close the opening 4a of the vertical thermal processing furnace 4 with the boat 3 placed thereon. The processing unit also has a boat-placing portion 19 on which the boat 3 and another boat 3 can be placed and a boat conveying mechanism 21 for conveying the two boats 3 alternatively between the boat-placing portion 19 and the first lid 17. A second lid 18 hermetically closes the opening 4a of the vertical thermal processing furnace 4 when the first lid 17 opens the opening 4a but no boat 3 passes through the opening 4a.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: December 17, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Katsumi Ishii
  • Patent number: 6494308
    Abstract: A system for transporting articles. The transport system includes a conveyor system which includes a transport device for carrying articles between workstations and a drive rail and a support rail for supporting the transport device. The drive rail includes a drive system for propelling the transport device between workstations. At least one shoe carried by the transport device rides on the support rail for movably supporting the transport device on the support rail. The transport system also includes a protective container including a housing having an interior compartment for supporting a plurality of articles. The bottom surface of the housing is configured to engage the drive system such that actuation of the drive system propels the housing along the drive and support rails. At least one shoe carried by the housing is configured to ride on the support rail of the conveyor system to movably support the housing on the support rail.
    Type: Grant
    Filed: February 8, 2001
    Date of Patent: December 17, 2002
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould
  • Publication number: 20020179122
    Abstract: In a method for processing flat media, such as semiconductor wafers, first and second cassettes carrying wafers are loaded into a dual position rotor. The cassettes are restrained within the rotor by support tubes and hold down pins. Processing capacity is increased, as two cassettes are simultaneously processed.
    Type: Application
    Filed: June 5, 2002
    Publication date: December 5, 2002
    Applicant: Semitool, Inc.
    Inventors: Raymon F. Thompson, Clif Neil
  • Publication number: 20020182040
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Application
    Filed: July 25, 2002
    Publication date: December 5, 2002
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Publication number: 20020182037
    Abstract: A substrate processing apparatus for providing predetermined processing to wafers brought in through the load port door comprises in the front of the load port door a load port table on which a wafer carrier accommodating a plurality of wafers is placed, and a shield plate is provided so as to surround the load port table.
    Type: Application
    Filed: March 7, 2002
    Publication date: December 5, 2002
    Applicant: SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.
    Inventors: Shinyo Kimoto, Kenji Tokunaga, Seokhyun Kim, Terumi Muguruma, Yoshiaki Yamada, Shinichi Watanabe, Masahiro Nishi
  • Patent number: 6487791
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: December 3, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6487794
    Abstract: A substrate changing-over mechanism in a vacuum processing apparatus which includes a substrate supporting means arranged within a vacuum tank which has at least two openings at a side wall of the tank, the openings being openable or closable by gate valves, the substrate supporting sections in upper and lower spaces and an ascending or descending driver section for driving the substrate supporting means; thereby allowing the supporting means to be stopped in a vertical direction at a plurality of predetermined positions.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: December 3, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6481945
    Abstract: Enhanced inserts are formed having a cylindrical grip and a protrusion extending from the grip. An ultra hard material layer is bonded on top of the protrusion. The inserts are mounted on a rock bit and contact the earth formations off center. The ultra hard material layer is thickest at a critical zone which encompasses a major portion of the region of contact between the insert and the earth formation. Transition layers may also be formed between the ultra hard material layer and the protrusion so as to reduce stresses formed on the interface between the ultra hard material and the protrusion.
    Type: Grant
    Filed: December 5, 2000
    Date of Patent: November 19, 2002
    Assignee: ASM International N.V.
    Inventors: Albert Hasper, Frank Huussen, Cornelis Marinus Kooijman, Theodorus Gerardus Maria Oosterlaken, Jack Herman Van Putten, Christianus Gerardus Maria Ridder, Gert-Jan Snijders, Jeroen Jan Stoutjesdijk, Jan Zinger
  • Publication number: 20020164232
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Application
    Filed: June 25, 2002
    Publication date: November 7, 2002
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6473996
    Abstract: In a load port mechanism of a substrate treatment unit, protuberances are provided on a sealing surface formed along a door with which a wafer carrier is to dock, or on a sealing surface formed around the door of the wafer carrier. The wafer carrier door is faced with the load port door with the protuberances therebetween, thereby separating the sealing surface of the substrate treatment unit from the sealing surface of the wafer carrier by only a predetermined distance. Thus, there is formed a channel along which clean air flows from the inside of the substrate treatment unit to the outside thereof. The load port structure and the wafer carrier structure improve the reliability of opening/closing action of the wafer carrier and prevent entry of extraneous particles into the treatment unit with sufficient reliability, and enable high-yield production of integrated circuits.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: November 5, 2002
    Assignee: Semiconductor Leading Edge Technologies, Inc.
    Inventor: Kenji Tokunaga
  • Patent number: 6471460
    Abstract: An apparatus is set forth for providing access to individual workpiece positions in a microelectronic workpiece cassette. The assembly comprises a workpiece cassette inventory assembly having a plurality of cassette inventory cassette supports, which are selectively aligned with the staging cassette supports of a workpiece staging assembly. This allows a plurality of cassettes to be separately indexed, thereby enabling the workpiece staging assembly to access multiple cassettes and process a larger number of microelectronic workpieces between loading/unloading cycles that require user activity.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: October 29, 2002
    Assignee: Semitool, Inc.
    Inventors: John M. Pedersen, Kyle M. Hanson
  • Patent number: 6470596
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: January 24, 2001
    Date of Patent: October 29, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6468017
    Abstract: A vehicle (10) for transporting a container (12) and for loading and unloading a load port (14) is disclosed. The vehicle (10) comprises a frame (16), a container holder (26) mounted to the frame (16), and front wheels (18) and rear wheels (20) mounted to the frame (16). The front wheels (18) are movable with respect to the rear wheels (20), so that the critical footprint of the vehicle is reduced during loading and unloading. The vehicle is particularly useful in personal guided systems and methods for loading and unloading wafer containers.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: October 22, 2002
    Assignee: Motorola, Inc.
    Inventor: Clinton Haris
  • Publication number: 20020150449
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay: A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Application
    Filed: June 5, 2002
    Publication date: October 17, 2002
    Applicant: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Patent number: 6463678
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: October 15, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Publication number: 20020146312
    Abstract: A method for loading substrates in a processing system is provided. In one embodiment, a method for loading substrates utilizes a substrate loader that generally includes a wall having an exterior side with one or more apertures formed therethrough and a related method of loading a processing system. A door assembly is movably coupled to the wall in each of the apertures and is adapted to temporarily retain the substrate. A first portion of the door assembly substantially closes the aperture when the door assembly is in a first or closed position, and a second portion of the door assembly substantially closes the aperture when the door assembly is in a second or open position. A robot for transferring a substrate in a processing system is also provided.
    Type: Application
    Filed: May 31, 2002
    Publication date: October 10, 2002
    Inventors: Himanshu J. Chokshi, Ben Ju, Eric J. Hilton
  • Patent number: 6461437
    Abstract: An apparatus for manufacturing a liquid crystal display device that can prevent chemical contamination attributed to contacting an external atmosphere, and a method of manufacturing the liquid crystal display device. The apparatus includes a cleaning chamber, a film deposition chamber for depositing a film on a layer cleaned in the cleaning chamber, and a transporter for transporting a substrate from the cleaning chamber to the film deposition chamber while preventing the substrate from being exposed to the external atmosphere.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: October 8, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Seiko Epson Corporation
    Inventors: Takeshi Kubota, Norikazu Komatsu
  • Patent number: 6461094
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by means of an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: February 2, 2000
    Date of Patent: October 8, 2002
    Assignee: Jenoptik AG
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6457929
    Abstract: An apparatus for transferring wafers between wafer holders such as wafer cassettes, etching drums and the like includes a tank for containing a liquid transfer medium in which the wafers can be transferred. The apparatus includes a wafer transfer unit that can transfer a plurality of wafers such as semiconductor wafers between wafer holders, and maintain the wafers in a desired relative orientation during transfer. For example, the wafers can be maintained in a parallel relationship. The apparatus can be used to automatically transfer wafers to etching drums without cross-indexing of the wafers and without manual handling of the wafers.
    Type: Grant
    Filed: November 3, 1998
    Date of Patent: October 1, 2002
    Assignee: Seh America, Inc.
    Inventors: Michito Sato, Hiroaki Fukabori, Yukio Mukaino
  • Publication number: 20020136629
    Abstract: There is provided a processing system for processing a processing object, capable of precisely and efficiently carrying out alignment during a teaching operation.
    Type: Application
    Filed: March 25, 2002
    Publication date: September 26, 2002
    Inventor: Yoshiaki Sasaki
  • Patent number: 6454512
    Abstract: A person-guided vehicle (PGV) is provided for transporting and manipulating at least one carrier containing items such as semiconductor wafers to be loaded or unloaded at a load port. The PGV includes a wheeled cart having a frame configured to align with the load port. A carrier support assembly is mounted to the frame. The carrier support assembly includes a cradle configured to support the carrier, a lifting mechanism operative to raise and lower the cradle vertically, and a horizontal slide mechanism operative to move the cradle horizontally to and from a position outboard of the cart. A damping system is coupled to the cart and operative to dampen vibrations of the carrier in the carrier support assembly. One side of the cart is free of structural members to allow operator access to the carrier support assembly and to allow ready manipulation of the cart by the operator.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: September 24, 2002
    Assignee: PRI Automation, Inc.
    Inventor: Mitchell Weiss
  • Patent number: 6454508
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Grant
    Filed: May 1, 1998
    Date of Patent: September 24, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall
  • Patent number: 6454519
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Grant
    Filed: March 7, 1997
    Date of Patent: September 24, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall, David Cheng
  • Patent number: 6454472
    Abstract: A semiconductor manufacturing apparatus for a photolithographic process having a coating process and a developing process is described, which includes a first port, a second port, a coating member, and a developing member. The first port and second port have a constant distance from each other, where a substrate comes in and goes out. The coating member, which couples the first port to the second port, carries the substrate between the first port and the second port and carries out the coating process. The developing member, which couples the first port to the second port and is stacked on the coating member, carries the substrate therebetween and carries out the developing process. The apparatus can stably maintain an operating ratio of equipment, and be installed in a relatively small area.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: September 24, 2002
    Assignee: DNS Korea Co., Ltd.
    Inventors: Dong-Ho Kim, Hee-Young Kang, Ki-Sung Cho
  • Patent number: 6450318
    Abstract: An elevated container transport system, comprising: an elevated track; a cart movable along the track; means for moving the cart along the track; a telescoping arm coupled to the cart, and movable between a retracted position in which its distal end is relatively close to the cart, and an extended position in which the distal end is farther from the cart; and a container support structure carried by the telescoping arm and extendable and retractable therewith, preferably accomplished with two container conveyor systems.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: September 17, 2002
    Assignee: TEC Engineering Corporation
    Inventor: Maurice Minardi
  • Patent number: 6449522
    Abstract: Systems and methods for managing automated material handling systems, such as semiconductor fabrication facilities, using material item (e.g., wafer lot) attributes and cassette attributes are provided. A semiconductor fabrication facility typically includes multiple wafer lots and multiple cassettes for storing the wafer lots. A system and method, in one embodiment of the invention, includes setting one or more lot attributes for each wafer lot, setting one or more cassette attributes for each cassette, and selecting a particular cassette for holding a particular wafer lot based on the one or more wafer lot attributes of the particular wafer lot and the one or more cassette attributes of the particular cassette. The wafer lot and cassette attributes may, for example, include an attribute identifying a position in a fabrication sequence and one or more attributes indicative of one or more contaminants.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: September 10, 2002
    Assignee: Micro Devices, Inc.
    Inventors: Michael R. Conboy, Patrick J. Ryan, Elfido Coss, Jr.
  • Patent number: 6449520
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: September 10, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6449530
    Abstract: A semiconductor wafer carrier 1 measures a transferring speed of a semiconductor wafer carrier transfer system; a result of measured speed is compared with a threshold value to obtain a result of comparison, and the result of comparison is transmitted to a semiconductor wafer carrier automatic transferring device 8 to adjust an operational parameter, whereby all constructive device elements of the semiconductor wafer carrier automatic transferring system can be automatically adjusted and a time for setting-up can be shortened as well as saving man power.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: September 10, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yoshiaki Yamada, Akira Ono
  • Patent number: 6447232
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: September 10, 2002
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6447233
    Abstract: An automated door assembly is provided for sealing an opening in a barrier to a contaminant-free environment suitable for semiconductor wafer processing. The door assembly comprises a base pivotable toward and away from the opening along an arcuate path and a closure plate linearly movably coupled to the base and configured to a seal the opening in the barrier. The closure plate is removable in synchronization with the pivoting of the base to traverse a horizontal linear path into contact with the barrier to close the opening. A vacuum system is provided in conjunction with the door assembly to extract particles from the sealed environment. A sensor disposed on the closure plate is operable to sense the position of wafers or other objects disposed adjacent the opening, to detect misalignment or omission thereof.
    Type: Grant
    Filed: August 18, 2000
    Date of Patent: September 10, 2002
    Assignee: PRI Automation, Inc.
    Inventor: Jeffrey M. Denker
  • Patent number: 6443686
    Abstract: A material handling and transport system and process for moving a substrate carrier between storage and processing destinations are provided. The system includes a vehicle that runs on a support structure, such as a pathway or a track assembly supported on the floor, which may be in a pressurized tunnel. A carrier nest on the vehicle receives and supports the carrier. A lifting mechanism on the vehicle vertically raises and lowers the carrier nest. A load port support surface is disposed vertically above the support structure. The carrier is raised through an opening in the load port support surface. A load port nest on the load port support surface receives and supports the carrier adjacent a selected destination. A carrier manipulation mechanism manipulates the carrier over the load port nest. The lifting mechanism then lowers the carrier onto the load port nest. The system is particularly suited for handling and transporting semiconductor wafer carriers.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: September 3, 2002
    Assignee: PRI Automation, Inc.
    Inventors: Mord Wiesler, Mitchell Weiss, Gerald M. Friedman
  • Publication number: 20020119036
    Abstract: An installation for fabricating semiconductor products includes a transport system for supplying the semiconductor products to fabrication units in a clean room. A portal crane installation includes at least one transport container which is guided above the fabrication units and which can be lowered to the fabrication units.
    Type: Application
    Filed: November 7, 2001
    Publication date: August 29, 2002
    Inventors: Ronald Huber, Rolf-Arno Klabsch
  • Patent number: 6439822
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: August 27, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Patent number: 6431806
    Abstract: An adapter device is placed on a movable plate of a base of an interface device to position a carrier pod at a preset height enabling a standard interfacing system with equipment machines to be used. A side wall of the adapter device comprises a guiding aperture enabling the carrier pod to pass to bring the first door and its lock into alignment with a front support plane of the side wall. A controller is provided to couple the first door with a second door and to unlock the first door when the side wall accosts against a front face of the interface device.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: August 13, 2002
    Assignee: Incam Solutions
    Inventor: Claude Doche
  • Patent number: 6427824
    Abstract: An overhead conveyance device and an overhead conveyance vehicle that can convey a conveying object, while keeping it at a minimum distance from surrounding equipment when the overhead conveying vehicle turns around during conveyance of the conveying object. The overhead conveyance device (1) is so structured that even when a carriage part (6) of the overhead conveyance vehicle (5) enters a branching part (3) for allowing the carriage part to change in traveling direction and turns around, the conveying object (25) grasped by a hand (9) can be kept unchanged in direction.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: August 6, 2002
    Assignee: Shinko Electric Co., Ltd.
    Inventors: Masanao Murata, Teruya Morita, Hitoshi Kawano
  • Patent number: 6425722
    Abstract: A substrate transfer system comprising a cassette table for mounting a cassette which has an opening portion for loading and unloading a substrate and a cover detachably provided to the opening portion, process portion for processing the substrate housed in a cassette on the cassette table, a transfer arm mechanism for taking out the substrate from the cassette table, transferring it to process units G1 to G5, and returning a processed substrate to the cassette on the cassette table, partition members provided between the transfer arm mechanism and the cassette table, for separating an atmosphere on the side of the transfer arm mechanism from that on the side of the cassette table, a passage formed in the partition member so as to face the opening portion of the cassette on the cassette table, for passing the substrate taken out from the cassette on the cassette table by the transfer arm mechanism and returning the substrate to the cassette on the cassette table, cassette moving mechanisms for moving the open
    Type: Grant
    Filed: March 8, 2000
    Date of Patent: July 30, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Masami Akimoto, Kazuhiko Ito, Mitiaki Matsushita, Masatoshi Kaneda, Yuji Matsuyama
  • Patent number: 6418945
    Abstract: An apparatus for processing flat media, such as semiconductor wafers, has a rotor including positions for first and second cassettes. The cassettes are restrained within the rotor by support tubes and hold down pins. The processing capacity of the apparatus is increased, as the apparatus can hold two cassettes.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: July 16, 2002
    Assignee: Semitool, Inc.
    Inventors: Raymon F. Thompson, Clif Neil
  • Patent number: 6413356
    Abstract: A substrate loader for a semiconductor substrate processing system that generally includes a wall having an exterior side with one or more apertures formed therethrough and a related method of loading a processing system. A door assembly is movably coupled to the wall in each of the apertures and is adapted to temporarily retain the substrate. A first portion of the door assembly substantially closes the aperture when the door assembly is in a first or closed position, and a second portion of the door assembly substantially closes the aperture when the door assembly is in a second or open position. A robot for transferring a substrate in a processing system is also provided.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: July 2, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Himanshu J. Chokshi, Ben Ju, Eric J. Hilton
  • Patent number: 6409448
    Abstract: A load port module is mounted adjacent a process tool for loading semiconductor wafers to the process tool and unloading them from the process tool. The module includes a mounting frame having a charging opening therein for entry into the process tool, a platform having an upper surface for supportively receiving a cassette containing the semiconductor wafers to be passed though the charging opening into the process tool, a selectively operable closure movable between a first position withdrawn from the charging opening allowing the passage therethrough of the semiconductor wafers and a second position overlying the charging opening preventing the passage therethrough of the semiconductor wafers, and a shroud movable between a retracted position fully exposing the platform and its associated cassette and an advanced position fully encompassing the platform and the cassette adjacent the process tool. The shroud serves as a barrier against the passage of particulate matter into the process tool.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: June 25, 2002
    Assignee: Brooks Automation Inc.
    Inventor: Glenn L Sindledecker
  • Publication number: 20020076316
    Abstract: The boat and boat handle of the present invention are cooperatively designed for automatic interlocking engagement and release upon manipulation by a user. The boat includes a side rail that is designed with a lip portion for engagement within a slot formed in the handle, and a handle contact surface for contacting a gripping block member of the handle. The handle includes at least one boat holding block that is formed with a boat lip holding slot therewithin. The handle also includes at least one boat gripping block that is rotatably engaged with the holding block, and which includes a frontwardly projecting rail gripping flange. When the boat lip is brought into the holding block slot, the gripping block is caused to rotate such that the flange is brought over the top of the rail. The boat rail is thereby held between the slot and the flange of the handle, such that the boat may be lifted and moved through movement of the handle.
    Type: Application
    Filed: December 18, 2000
    Publication date: June 20, 2002
    Inventors: David W. Benzing, Christopher A. Luebker
  • Publication number: 20020071744
    Abstract: An improved apparatus and method is provided for storing semiconductor wafer carriers, and for loading wafers or wafer carriers to a fabrication tool. The apparatus preferably provides an elevated port for receipt of wafer carriers from an overhead factory transport, allows for local interconnection among a plurality of the inventive apparatuses, and enables independent loading of the factory load port and the tool load port. An inventive wafer handling method which divides a lot of wafers into sublots and distributes the sublots among tools configured to perform the same process is also provided.
    Type: Application
    Filed: July 9, 1999
    Publication date: June 13, 2002
    Inventor: ROBERT Z. BACHRACH
  • Patent number: 6402401
    Abstract: Thermal processing unit sections each with ten tiers and coating processing unit sections each with five tiers are disposed around a first main wafer transfer section and a second main wafer transfer section, and in the thermal processing unit section, the influence of the time required for substrate temperature regulation processing on a drop in throughput can be reduced greatly by transferring the wafer W while the temperature of the wafer W is being regulated by a temperature regulation and transfer device.
    Type: Grant
    Filed: October 16, 2000
    Date of Patent: June 11, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Shinichi Hayashi, Naruaki Iida, Yuji Matsuyama, Yoichi Deguchi
  • Patent number: 6398476
    Abstract: In an automatic storage system and an automatic storing method for automatically take in and out a container box 1 accommodating therein a wafer carrier 6, a take-in port and a take-out port of a storage unit 8 are unified to one common inlet/outlet port 15, and a control is conducted to the effect that when the container box 1 is taken in and out on the basis of a take-in/take-out schedule of the container box 1 to the storage unit 8, the empty container box is ceaselessly left at the inlet/outlet port 15. Thus, the take-in/take-out operation can be smoothly conducted with no necessity of enlarging the storage unit 8 and with no congestion of the conveying container box accommodating therein the wafer carrier 6.
    Type: Grant
    Filed: January 26, 1998
    Date of Patent: June 4, 2002
    Assignee: NEC Corporation
    Inventor: Keiichi Ando
  • Patent number: 6390755
    Abstract: In a single cleanroom (200) for first (230) and second (240) wafer processing machines, an exhaust device (260) surrounds the second machine (240) that temporarily produces exhaust gas (250) which is detrimental to the processes in the first machine (230). Additionally to a first directed air flow (220) available in the cleanroom (200), the exhaust device (260) generates—in the proximity of gas leakage openings of the second machine (240)—a second air flow (270) that prevents the exhaust gas (250) from further being moved by the first air flow (220) to the first machine (230).
    Type: Grant
    Filed: April 6, 2000
    Date of Patent: May 21, 2002
    Assignee: Motorola, Inc.
    Inventors: Leroy Grant, Gunter Hraschan
  • Patent number: 6390811
    Abstract: To provide a high-temperature and high-pressure treatment device in which articles to be treated such as wafers of LSI semiconductors can be transported in a stable attitude, and the entire apparatus is made compact.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: May 21, 2002
    Assignee: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventors: Tsuneharu Masuda, Takahiko Ishii, Yutaka Narukawa
  • Patent number: 6390754
    Abstract: A closed-type cassette is mounted on a cassette stand disposed in a working region at a position corresponding to an opening formed in a wall separating the working region from a loading region. When the cassette is mounted on the cassette stand, a detecting device gives a signal to that effect to a controller. Then, the controller closes a valve to interrupt nitrogen gas supply into the loading region. A lid of the cassette is opened 20 to 30 sec after the interruption of nitrogen gas supply into the loading region and, then, nitrogen gas supply is resumed. The pressure difference between the loading region and the interior of the cassette is decreased by interrupting nitrogen gas supply into the loading region, so that the lid can be easily opened.
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: May 21, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Yamaga, Yuji Ono, Masahiro Miyashita, Osamu Tanigawa
  • Patent number: 6390753
    Abstract: In a system for loading, processing and unloading substrates, a carrier has a bottom and a plurality of slots to receive a plurality of substrates for processing in a reactor. The reactor is tubular and has an inlet opening to receive the carrier loaded with substrates. A handling robot loads and unloads the substrates to and from the carrier when the carrier is located outside the reactor. A rotatable base plate supports the carrier and moves the carrier between a first position in proximity of the handling robot and a second position in proximity of the reactor. A lifting device introduces and removes the carrier in and from the reactor by lifting and lowering the carrier from and to the base plate when the carrier is in the second position. A door plate is coupled to the lifting device and to the bottom of the carrier. The door plate closes the inlet opening of the reactor when the carrier is introduced in the reactor and seals the reactor during processing.
    Type: Grant
    Filed: November 3, 1999
    Date of Patent: May 21, 2002
    Assignee: ASM International N.V.
    Inventor: Christianus Gerardus Maria De Ridder
  • Patent number: 6385503
    Abstract: The invention relates to a reactor (1) for processing wafers (7) comprising at least one process chamber (5), at least one transport chamber (2) with a transport robot (3) for the wafers (7), and at least one magazine (6) for wafers (7) with a lifting mechanism (12). To safeguard a better protection against damage also for wafers (7) stored in a magazine (6), an optical detection device (13, 14, 15, 16) is provided in the reactor (1) for monitoring the position of the wafers (7) stored in a magazine (6). All wafers stored one above the other in a magazine (6) with several compartments can thus be monitored, for example by means of a simple photoelectric barrier (13, 15). It is possible in this manner to monitor not only those wafers (7) which were just previously taken out or put in by the transport robot (3), but also all other wafers (7) present in the magazine (6).
    Type: Grant
    Filed: June 16, 1998
    Date of Patent: May 7, 2002
    Assignee: U.S. Philips Corporation
    Inventor: Wolfgang Volle
  • Patent number: 6379056
    Abstract: A first processing unit group for performing processing for a substrate at a temperature close to room temperature and a second processing unit group for performing heat processing for the substrate are disposed in divided different areas. Between the first processing unit group and the second processing unit group, the substrate is transferred only by means of a main transport apparatus and not directly. Thereby, temperature control can be precisely performed in the first processing unit group for performing processing for the substrate at a temperature close to room temperature.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: April 30, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Issei Ueda