Wafer Cassette Transporting Patents (Class 414/940)
  • Patent number: 6618640
    Abstract: The present invention provides for a method and an apparatus for using an integrated remote identifier for processing semiconductor wafers. Process data is associated with a remote identifier. A remote identifier interfacing process based upon the remote identifier is performed. A first processing run of semiconductor devices is performed in response to the remote identifier interfacing process.
    Type: Grant
    Filed: April 11, 2001
    Date of Patent: September 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Todd Edward Hittner, Jason Alan Grover
  • Patent number: 6612797
    Abstract: A SMIF interface is disclosed for buffering one or more cassettes within a minienvironment affixed to a process tool. The interface includes a load port formed of a port door and a port plate circumjacent thereabout, and a minienvironment mounted to port plate. The SMIF interface and/or process tool includes at least one shelf for storing a cassette after the load port separates the cassette from its transport pod. In operation, while a first cassette is located within the process tool, a second pod is seated on the load port, the cassette is separated from the pod and the cassette is stored on a storage shelf. When processing on the first cassette is completed, the second cassette is loaded into the processing tool. The first cassette is returned to the pod and removed from the load port. A cassette from a new pod is then seated on the load port, separated from its pod and stored on the storage shelf. This process continues until processing on each scheduled wafer lot is completed.
    Type: Grant
    Filed: May 18, 1999
    Date of Patent: September 2, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, William J. Fosnight, Joshua W. Shenk
  • Patent number: 6609876
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from containers under clean room conditions. These transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by using an adhering engagement. The charging opening and transporting container are opened simultaneously in that the container cover and the closure are moved down jointy into the semiconductor processing installation.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: August 26, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6609877
    Abstract: A vacuum chamber used for processing articles, such as integrated circuit wafers, display panels, and the like, has a small load lock chamber formed at an opening in a wall of the chamber by a moveable article supporting surface within the chamber and a cover outside of the chamber. The supporting surface and cover are sealed to the chamber wall when urged against it. Articles placed into the load lock chamber, when the cover is opened, are moved into the vacuum chamber for processing by moving the supporting surface away from the wall after the cover has been closed and a vacuum established in the load lock chamber. Articles are removed from the vacuum chamber in a reverse manner. Various mechanisms are describe for moving the articles, including a particular robotic device that simultaneously swaps the positions of two articles between the supporting surface and a processing location within the vacuum chamber by first pulling the articles together and then rotating them in a half-circle.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: August 26, 2003
    Assignee: The BOC Group, Inc.
    Inventor: Bruce Gordon Ramsay
  • Patent number: 6607380
    Abstract: A semiconductor exposure apparatus includes an exposure stage for holding a substrate when a predetermined exposure process is performed to the substrate, a transfer station for receiving a substrate from a resist coating unit, the transfer station having a temperature adjusting device for adjusting a temperature of the substrate, and a conveying device for conveying a substrate from the transfer station to the exposure stage.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: August 19, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hiroshi Nakazato
  • Patent number: 6604624
    Abstract: A work conveying system for conveying works in a clean room includes a work holder for holding works, and a moving unit for moving the work holder.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: August 12, 2003
    Assignee: Hirata Corporation
    Inventors: Yasunari Hirata, Takenori Hirakawa, Akemichi Yamamoto
  • Patent number: 6595841
    Abstract: A cassette tub for holding at least one wafer cassette in a tilted position for accessing by a robot blade by utilizing a cassette tub pin assembly to ensure the tilt angle of the wafer cassette is described. The cassette tub is filled with water such that wafers during a chemical mechanical polishing process may be stored therein without any residual slurry solution solidified on the surface of the wafer, and thus eliminating the generation of any contaminating particles or abrasive particles which may cause severe scratching in a subsequent chemical mechanical polishing process. The cassette tub pin assembly is securely mounted to a mounting reel in the cassette tub such that the angle of the wafer cassette is ensured.
    Type: Grant
    Filed: December 20, 2001
    Date of Patent: July 22, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kevin Lai, Yao-Hsiang Liang
  • Patent number: 6592318
    Abstract: An integrated wafer transport and transfer device is disclosed, which includes a vehicle with an integrated docking platform for holding a wafer carrier such as a FOUP (front opening unified pod). The docking platform is positioned at the correct height for sealing the FOUP to the load lock of a process tool. Vertical and/or horizontal movement is required in some cases. Methods for delivering wafers to process tools are also described. In a preferred embodiment, wafers are carried inside a FOUP on a cart, such as an automatically guided vehicle or a personally guided vehicle. The cart is docked at a process tool and the FOUP is sealed to the load lock of the tool without removing the FOUP from the cart. After processing on one tool, the cart along with the FOUP can be moved to the next process tool for further processing. The FOUP can stay on the same cart until all processing is completed. This is especially useful for moving priority lots through the fabrication facility quickly.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: July 15, 2003
    Assignee: ASM America, Inc.
    Inventor: Ravinder K. Aggarwal
  • Patent number: 6594546
    Abstract: A plant for processing wafers, having a plurality of fabrication units, a plurality of measuring units, and a transport system for transporting the wafers. The fabrication units and the measuring units are each assigned a registration system. The feeding-in and discharge of the wafers to and from the respective fabrication unit or measuring unit can be registered in order to determine the wafer occupancy in the unit. Depending on this occupancy, a supply request or disposal request can be generated for the respective fabrication unit and measuring unit.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: July 15, 2003
    Assignee: Infineon Technologies AG
    Inventor: Jürgen Elger
  • Patent number: 6592322
    Abstract: A personal guided transport vehicle is disclosed to include a horizontal motion unit, a vertical motion unit, and a gear set coupled between a shaft of the horizontal motion unit and a shaft of the vertical motion unit for enabling the shafts to be interlocked. When operating a horizontal operating lever of the horizontal motion unit, a lock pin is forced into engagement with a mounting hole of the shaft of the vertical motion unit. The horizontal motion unit and the vertical motion unit each have a spring plunger for self-lock. A docking mechanism is disclosed to enable the vehicle to be easily positioned in a docking flange. The docking mechanism includes a locating plate and a coupling plate, the locating plate having a locating notch for quick alignment, the coupling plate having hooks for hooking on the docking flange to hold the vehicle in position.
    Type: Grant
    Filed: November 14, 2001
    Date of Patent: July 15, 2003
    Assignee: Industrial Technology Research Institute
    Inventors: Tung-Fang Yang, Cheng-Yao Lin, Sheng-Fu Tsai, Tzong-Ming Wu, Muh-Wang Liang
  • Patent number: 6585828
    Abstract: Provided herein is a process chamber lid service system comprising a process chamber lid service cart and a process chamber lid service frame. The lid service frame holds the process chamber lid. The cart and the lid service frame are aligned with guide pin and alignment capture, meanwhile, the cart is aligned at the process chamber with guide frame, which is installed at the base frame of the process chamber. This lid service system may be used for opening/closing a process chamber as well as wet-cleaning the process chamber for semiconductor manufacturing.
    Type: Grant
    Filed: September 26, 2000
    Date of Patent: July 1, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan
  • Patent number: 6581264
    Abstract: A transportation container comprises: a container body for housing a plurality of semiconductor wafers; a detachable lid adapted to engage in and cover an open front face of the container body via a seal gasket; and a locking mechanism adapted to fix the lid engaged into the open front face of the container body. The locking mechanism has a pair of protrusions formed at the opposing sides of a rim of the open end face of the container body. The locking mechanism also has a pair of clamp plates which are pivotally supported on the opposing sides of the lid to clamp the protrusions. Each protrusion has, on the back thereof, a groove with a semi-circular cross-section to be clamped. Each clamp plate has attachment shafts for the lid formed in one end of the clamp plate. The clamp plate also has operated parts for automatized operation formed in the other end of the clamp plate. The operated part has a Y-shape in cross-section.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: June 24, 2003
    Assignee: Shin-Etsu Polymer Co., Ltd.
    Inventors: Shinichi Ohori, Hideaki Aoki
  • Patent number: 6582174
    Abstract: A substrate processing apparatus includes a rotation accommodating shelf and a transfer machine. The rotation accommodating shelf is capable of accommodating a plurality of accommodating containers in which substrates are to be loaded. The transfer machine is for transferring the plurality of accommodating containers to the rotation accommodating shelf. The plurality of accommodating containers are respectively disposed on radial lines, which radiate from a rotation center of the rotation accommodating shelf, such that each accommodating container is respectively inclined in a horizontal plane in a same fixed direction with respect to a radial line.
    Type: Grant
    Filed: January 25, 2000
    Date of Patent: June 24, 2003
    Assignee: Kokusai Electric Co., Ltd.
    Inventor: Akinari Hayashi
  • Patent number: 6582182
    Abstract: A semiconductor wafer cassette storage cabinet or stocker having a retrieval and transfer system constructed in the form of a kiosk encircling a pick-up and transfer apparatus. The structure is formed of a plurality of identical sections having wall panels and roof panels joined together to form a nearly semi-circular enclosure around the pick-up and transfer apparatus. Each section has multiple level input/output ports adjacent to each other with a shelf for supporting a semiconductor wafer cassette. Shelves at the input/output ports are constructed of rails supporting drive rollers and a photodetector to activate the drive rollers to fill an output port whenever a cassette has been retrieved and transferred. One embodiment with five identical sections can store and retrieving up to twenty semiconductor wafer cassettes while an optional embodiment with seven identical sections can store twenty-eight semiconductor wafer cassettes.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: June 24, 2003
    Assignee: Intrabay Automation, Inc.
    Inventor: Paul S. Whalen
  • Patent number: 6580955
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: June 17, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6580967
    Abstract: A method and apparatus for providing distributed material management and flow control in an integrated circuit (IC) factory. The IC factory comprises a factory stocker, a plurality of process bays and a factory transport agent for moving wafer cassettes between the bay and the stocker. Each of the bays comprises a bay stocker, a plurality of tools, a mini-stocker and a bay transport agent for moving wafers amongst the bay components. The apparatus uses partitioned stockers to facilitate deadlock avoidance or deadlock resolution. Additionally, various algorithms are used to detect wafer cassette movement situations where deadlocks may result from a wafer cassette movement within a bay and for resolving deadlocks when they occur.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: June 17, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Dusan Jevtic, Raja S. Sunkara
  • Patent number: 6579052
    Abstract: A storage, delivery and retrieval system having a rear wall to which is affixed a plurality of shelves capable of supporting one or more wafer-carrying pods. The structure further includes a pair of vertical rails lying in a plane spaced from and substantially parallel to the shelf-supporting wall. The horizontal rail extends between and is translationally mounted to the vertical rails, which horizontal rail supports a gripper capable of movement along the horizontal rail. The movement of the horizontal rail along the vertical rails, and the movement of the gripper along the horizontal rail, allows the gripper to be positioned at any location within an X-Z plane including the storage shelves. The system includes a two-dimensional transport system. That is, all transport of the pods by the system occurs without the pods ever leaving the X-Z plane of the shelves. This feature contributes to the overall small footprint of the system.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: June 17, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Raymond S. Martin, Robert Netsch, Joshua T. Oen, Terry Mosier, William J. Fosnight
  • Patent number: 6575687
    Abstract: A wafer transport mechanism is disclosed capable of transferring workpiece cassettes between lot boxes and SMIF pods. The transport mechanism includes a frame having a first support platform on a first side of the frame for supporting a SMIF pod, and a second support platform on a second side of the frame for supporting a lot box. The frame further includes a carrier transfer mechanism which resides completely within the frame when in a home position. The transfer mechanism includes an arm and a gripper pivotally mounted to the arm. Once a SMIF pod and lot box are seated on their respective support platforms, the transfer mechanism transfers a cassette between the two containers.
    Type: Grant
    Filed: December 4, 2000
    Date of Patent: June 10, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Robert R. Netsch, Richard Gould
  • Patent number: 6573198
    Abstract: A wafer processing apparatus is provided with a wafer carrier comprising a doorplate, a pedestal including one or more legs to support the pedestal on the doorplate, and a wafer rack positionable on the pedestal. A pedestal lock is connected to the doorplate and is selectively engageable with at least one of the legs to lock the pedestal to the doorplate. A lock is further provided to selectively engage at least one of the wafer rack and the pedestal to lock the wafer rack to the pedestal. The pedestal is thereby prevented from falling off of the doorplate, and the wafer rack is prevented from falling off of the pedestal, during earthquake-induced vibrations and accelerations.
    Type: Grant
    Filed: October 10, 2001
    Date of Patent: June 3, 2003
    Assignee: ASM International N.V.
    Inventors: Klaas Peter Boonstra, Christianus Gerardus Maria de Ridder
  • Patent number: 6573522
    Abstract: A storage surface for a semiconductor substrate carrier has substrate carrier sensors that are integrated with locator pins. Each locator pin includes a pin body mounted on, and extending upwardly from, the surface. The pin body has a slot formed at an upper portion of the pin body. A paddle is mounted in the slot of the pin body and is adapted to be actuated in a downward direction when a substrate carrier is seated on the pin body. The pin body has an uppermost point, and when the paddle is unactuated, the paddle does not extend above the uppermost point of the pin body.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: June 3, 2003
    Assignee: Applied Matrials, Inc.
    Inventors: Martin R. Elliott, Jeffrey C. Hudgens
  • Patent number: 6572321
    Abstract: A loader conveyor adapted so as to receive a wafer carrier from a transfer conveyor and adapted to terminate at an intersection with a processing system, is provided. Thus, the need for a front-end loader robot may be eliminated.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: June 3, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Jaim Nulman
  • Patent number: 6567725
    Abstract: Apparatus for teaching robot station location relative to a work piece apparatus includes an attachment that can be temporarily coupled to the apparatus and positioned in known relationship to the robot station location. A plurality of positional sensors are mounted on the attachment ring, the sensors each configured to produce a signal when a work piece carried by a robot arm is positioned a predetermined distance from the sensor. A signal receiver is configured to receive signals from the sensors and to indicate which of the sensors has produced the signal. The indication may be the activation of an LED display that indicates to an operator what the next movement of the robot arm should be in order to center the work piece with respect to the robot station location.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 20, 2003
    Assignee: Speedfam-Ipec Corporation
    Inventors: Ann Wilkey, Michael Smigel, Richard J. Stewart, III
  • Patent number: 6558101
    Abstract: The object of the present invention is to reduce the number of shelves for supporting articles in an article storage system for storage of articles such as containers. The storage system includes a shelf 12 for supporting a container 2 having an engaging means 8 formed at an upper portion thereof. The storage system also includes a loader/unloader 4 for loading the container 2 on and unloading it from the shelf 12. The shelf 12 includes a deck 20 formed on the upper side thereof, on which the container 2 can be placed. The shelf 12 also includes a pair of right and left engaging parts 16 formed on the lower side thereof for engaging with the engaging means 8 of the container 2 to hold the container 2 hanging from the shelf 12. The engaging means 8 and the pair of engaging parts 16 can engage with and disengage from each other in the directions in which the container 2 can be loaded and unloaded.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: May 6, 2003
    Assignee: Daifuku Co., Ltd.
    Inventor: Yoshitaka Inui
  • Publication number: 20030077153
    Abstract: An ID code reader, adapted to read an ID code from a wafer cassette or other substrate carrier, is integrated with a substrate carrier handling robot. In one aspect, the robot includes a support structure, and a chassis mounted for movement on the support structure. In this aspect an ID code reader is mounted on the chassis or otherwise mounted for movement along with an end effector adapted to engage the substrate carrier.
    Type: Application
    Filed: October 19, 2001
    Publication date: April 24, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Jeffrey C. Hudgens
  • Patent number: 6551049
    Abstract: In a device for controlling the drive of mechanisms operating separately from one another, the object is to minimize the operating effort and expense of driving alternatively operating mechanisms. Furthermore, it is ensured by the device that the mechanisms are only allowed to be put into operation if they are in a specific state and that this state is maintained throughout their operation. The device has power transmission capabilities different from one another for adjusting the control system to different states of the mechanisms. Arrangements provided for this purpose operate in different settings, determined by control signals, of which a first setting prevents a power transmission and further settings respectively permit power transmission to one of the mechanisms. The arrangement for power transmission is equipped with a signal-receiving device, with which a reception of the control signals dependent on the state of the mechanisms takes place.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: April 22, 2003
    Assignee: Brooks Automation GmbH
    Inventors: Lawrence R. Gravell, John C. Pflueger
  • Patent number: 6547660
    Abstract: A semiconductor manufacturing facility is provided, which can reduce a thermal load in a clean room and reduce an amount of energy thereof. Semiconductor manufacturing equipment, which generates heat when it is used, is installed in the clean room and is covered by a housing. The housing is configured to be capable of introducing the air inside the clean room into an interior thereof. The air inside the housing is exhausted outside the clean room through a plurality of exhaust passage members. A heat insulating material is associated with the housing to reduce the release of heat from the housing to the air inside the clean room. A space between the housing and the semiconductor manufacturing equipment may be hermetically sealed, and an air introducing member may be connected to the housing to take air outside the clean room into the hermetically sealed space.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: April 15, 2003
    Assignees: Tokyo Electron Limited, Taisei Corporation
    Inventors: Osamu Suenaga, Tadahiro Ohmi, Sadao Kobayashi
  • Patent number: 6543981
    Abstract: A method for creating a reduced particle environment in a localized area of a mechanically active transport interface is provided. The augmentation of the air flow results in a sweeping air flow to remove particles in and around the desired area. The augmented air, flow will eliminate static or turbulent air flow regions and assist in removing potential particles from the vicinity of the substrate. This will prevent particles from being deposited on substrates thus fostering higher yields and improved quality.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: April 8, 2003
    Assignee: Lam Research Corp.
    Inventors: Harlan I. Halsey, David E. Jacob
  • Patent number: 6540466
    Abstract: An improved apparatus and method is provided for storing semiconductor wafer carriers, and for loading wafers or wafer carriers to a fabrication tool. The apparatus preferably provides an elevated port for receipt of wafer carriers from an overhead factory transport, allows for local interconnection among a plurality of the inventive apparatuses, and enables independent loading of the factory load port and the tool load port. An inventive wafer handling method which divides a lot of wafers into sublots and distributes the sublots among tools configured to perform the same process is also provided.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Robert Z. Bachrach
  • Patent number: 6540467
    Abstract: A system and a method are provided for preventing damage to wafers arranged in a wafer cassette. In particular, an apparatus is provided to protect wafers arranged in a wafer cassette during insertion of a wafer into the cassette. In one embodiment, the apparatus may be a separate entity from the wafer cassette. In this manner, the apparatus may be situated about the cassette such that the wafers arranged in the cassette are protected during insertion of a wafer. In another embodiment, the wafer cassette itself may be adapted to partially cover and protect the wafers arranged in the cassette during insertion of a wafer. Consequently, a method is provided using either embodiment of the apparatus. In particular, the method may include inserting a wafer into a wafer cassette by shielding one or more slots of the cassette, exposing a designated slot of the cassette, and inserting a wafer into the designated slot.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: April 1, 2003
    Assignee: LSI Logic Corporation
    Inventors: Nael O. Zohni, Clifford Fishley
  • Patent number: 6537010
    Abstract: An apparatus for automatically and simultaneously loading/unloading a plurality of wafer boats (24) onto/from a cantilever paddle includes a cantilever paddle (47-1) and a carriage (42-1) supporting the cantilever paddle First and second vertical translation mechanisms (34A,B) each include a stationary part (62,63) and a vertically moveable support (61). First and second horizontal translation mechanisms (50A,B) each have a base (86) supported by one of the vertically moveable supports and a horizontally moveable arm (51) supported by the base (86). A horizontal boat support assembly (102) supports the loaded wafer boats and has an end supported by a first horizontally moveable arm (51A) and another end supported by a second horizontally moveable arm (51B).
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: March 25, 2003
    Assignee: Amtech Systems, Incorporated
    Inventors: John M. Martin, Arthur W. Harrison, Allen D. Edwards
  • Patent number: 6533101
    Abstract: A system for transporting articles. The transport system includes a conveyor system which includes a transport carrier for carrying one or more articles between workstations and a drive rail and a support rail for supporting the transport carrier. The drive rail includes a drive system for propelling the transport carrier between workstations. At least one shoe carried by the transport carrier rides on the support rail for movably supporting the transport carrier on the support rail. The transport system may also includes a protective container including a housing having an interior compartment for supporting one or more articles. The bottom surface of the housing is configured to engage the drive system such that actuation of the drive system propels the housing along the drive and support rails. At least one shoe carried by the housing is configured to ride on the support rail of the conveyor system to movably support the housing on the support rail.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: March 18, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould
  • Patent number: 6530734
    Abstract: The processing status of a plurality of semiconductor wafers undergoing processing is positively identified by the use of indicator flags associated with cassettes containing the wafers. The flags are moved between at least two processing state indicating positions during processing of the wafers by a robotic arm that also transfers the wafers between the cassettes and a wafer processing station.
    Type: Grant
    Filed: December 8, 2000
    Date of Patent: March 11, 2003
    Assignee: Adept Technology, Inc.
    Inventor: Eric A. Nering
  • Patent number: 6530735
    Abstract: A controlled material transport system (MTS) for carrying materials to and from workstations, test equipment, and processing and assembly tools in a common facility. The present invention includes a rigid robot vehicle mountable to a passive track system, which can be routed to service all processing tools on the factory floor. The robot vehicle includes a hoist assembly and gripper assembly, which together perform such functions as picking up magazines, placing magazines, and loading magazines into the processing tools. The hoist assembly is capable of functioning in an operational envelope, which includes any target location within a 3-axis Cartesian coordinate system, to the extent of the range of motion of the hoist assembly. The hoist assembly also provides rigid and controlled z-axis travel, while being compact when retracted. The gripper assembly facilitates loading of the magazines, especially chute style magazines, which are commonly found on many existing processing tools.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: March 11, 2003
    Assignee: Amkor Technology, Inc.
    Inventor: Harold L. Trammell
  • Patent number: 6530736
    Abstract: A SMIF load port assembly is disclosed including a port door position compensation assembly capable of dynamically adjusting a relative spacing between a front surface of a port door and a front surface of a pod door loaded onto the load port assembly so as to compensate for any improper positioning of the front surface of the pod. The position compensation assembly includes a plunger translationally mounted in the port door, and a sensor for detecting a position of the plunger. As a pod is loaded onto the load port assembly is advanced toward the port door, the front surface of the pod door contacts the plunger at which point the position compensation assembly in combination with an overall controller can identify the exact position of the pod door. From this identification, the position of the port and/or pod doors may be adjusted to compensate for any improper positioning of the front surface of the pod door on the load port assembly.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: March 11, 2003
    Assignee: Asyst Technologies, Inc.
    Inventor: Frederick T. Rosenquist
  • Patent number: 6524057
    Abstract: A Manual Guide Vehicle for transporting semiconductor-containers to and from processing equipment is disclosed. The Manual Guide Vehicle is capable of receiving electric power for driving a transporting device for transporting cassettes with semiconductor samples to be processed from the external processing equipment.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: February 25, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-Kwen Park
  • Patent number: 6525800
    Abstract: A LCD cell transport apparatus comprises a transfer robot arm having a base for supporting and transferring a carrier (such as a cleaning basket) loaded with LCD cells in a cleaning system. The LCD cell transport apparatus is characterized in that the three corners of the base are respectively provided with spacers, wherein each spacer has a thickness different from one another.
    Type: Grant
    Filed: September 17, 2001
    Date of Patent: February 25, 2003
    Assignee: Hannstar Display Corp.
    Inventor: Shih Yang Lee
  • Patent number: 6526329
    Abstract: The present invention is a substrate processing method comprising the steps of successively extracting unprocessed wafers from a cassette, successively conveying the extracted wafers to a plurality of processing units, causing the processing units to process the wafers in parallel, and returning the processed wafers to a cassette. A process completion prediction time at which processes for one lot are completed is calculated and displayed corresponding to a process recipe that has been set to a plurality of wafers for at least one lot. Corresponding to the process completion prediction time, a cassette that contains a plurality of unprocessed wafers for one lot is accepted. A cassette that contains a plurality of processed wafers for one lot is returned.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: February 25, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Masanori Tateyama, Syuzo Fujimaru
  • Publication number: 20030031539
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Application
    Filed: October 2, 2002
    Publication date: February 13, 2003
    Inventors: Jaim Nulman, Nissim Sidi
  • Publication number: 20030029696
    Abstract: A work conveying system for conveying works in a clean room includes a work holder for holding works, and a moving unit for moving the work holder.
    Type: Application
    Filed: September 30, 2002
    Publication date: February 13, 2003
    Inventors: Yasunari Hirata, Takenori Hirakawa, Akemichi Yamamoto
  • Patent number: 6519502
    Abstract: An apparatus and a method for positioning a cassette pod onto a loadport of a process machine by an overhead hoist transport system are disclosed. The apparatus consists of four sensor plates each equipped with a connecting rod, a compressible spring and a vibration sensor. The apparatus further includes a concave mirror mounted on a bottom surface of a cassette pod and a light source and a photosensor mounted at a center location on the loadport. The apparatus further includes a process controller for receiving a signal from the photosensor indicating an incorrect position of the cassette pod and then sending a second signal to the OHT system such that the position of the OHT can be corrected and calibrated accordingly to position the cassette pod on the loadport in an accurate manner.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: February 11, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Albert Chao
  • Publication number: 20030023343
    Abstract: A wafer transfer system which reduces time for orienting a wafer in transferring the wafer and improving the efficiency of transferring the wafer.
    Type: Application
    Filed: July 1, 2002
    Publication date: January 30, 2003
    Applicant: Murata Kikai Kabushiki Kaisha
    Inventors: Masaru Tomita, Shuji Akiyama
  • Patent number: 6511315
    Abstract: In a substrate processing apparatus, processing units are stacked in a multistage manner around a transport robot arranged at the center of a processing area for forming a processing part. In a second hierarchy, rotary coating units are arranged through an indexer and a transport robot. In a fourth hierarchy located above the second hierarchy, rotary developing units are stacked above the rotary coating units respectively. Multistage thermal processing units and an edge exposure unit are horizontally arranged above an interface mechanism part. Thus, a substrate processing apparatus capable of reducing the area for setting the same is provided.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: January 28, 2003
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Koji Hashimoto
  • Patent number: 6510688
    Abstract: A safety device for a moving system with a drive in which a pneumatically operated piston, by linear movement in a cylinder, moves a transported object past a stationary part in at least one direction has the aim of generating a switching signal at every point of a through-opening when an obstacle is located between the moving object and the edge of the through-opening, regardless of the movement direction of the object and the geometrical construction of the through-opening. The cylinder has, at its ends, devices for measuring the pressure of the air flowing out of the cylinder in the movement direction of the piston, wherein a drop in the otherwise substantially constant pressure below a threshold value serves as a switching signal at least for switching off the system. The device is useful for safety purposes during the transport of objects, particularly when the objects form shearing edges with neighboring objects.
    Type: Grant
    Filed: September 22, 1998
    Date of Patent: January 28, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Erich Adler, Andreas Berger, Marlies Mages
  • Patent number: 6508885
    Abstract: A low-pressure processor for processing substrates includes a chuck that engages the substrates' peripheries for purposes of clamping, sealing, and centering the substrates on chuck bodies. For accomplishing all three purposes, a mechanical clamp can be arranged with two sealing regions. One of the sealing regions seals the clamp to a chuck body or an extension of the chuck body, and another of the sealing regions engages a peripheral edge surface of a substrate for sealing the clamp to the substrate. The second sealing region includes an inclined seating surface that engages a front edge of the substrate's peripheral edge surface and divides a clamping force into a first component that presses the substrate against the chuck body and a second component that centers the substrate on the chuck body.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: January 21, 2003
    Assignee: CVC Products, Inc.
    Inventors: Mehrdad M. Moslehi, Cecil J. Davis
  • Patent number: 6506009
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: January 14, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Jaim Nulman, Nissim Sidi
  • Publication number: 20030002960
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Application
    Filed: August 19, 2002
    Publication date: January 2, 2003
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall
  • Publication number: 20030002961
    Abstract: A processor for processing articles, such as semiconductor wafers, includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. An article extraction mechanism adapted to seal with the pod removes articles from the pod without exposing the articles to ambient atmospheric conditions in the interface section. The article processor also preferably includes an article insertion mechanism adapted to seal with a pod in the interface section. The article insertion mechanism allows insertion of the articles into the pod after processing by at least one processing station.
    Type: Application
    Filed: July 25, 2002
    Publication date: January 2, 2003
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Publication number: 20030002959
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Application
    Filed: August 19, 2002
    Publication date: January 2, 2003
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall
  • Patent number: 6499229
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage maeans after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: December 31, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6499777
    Abstract: An end-effector with integrated cooling features comprises heat transferring mechanisms that transfer heat energy away from the end-effector. The end-effector advantageously minimizes the cooling overhead of a processed substrate as it is transported from a process module to a low-cost storage cassette. The reduced cooling overhead of the processed substrate, as a consequence, improves substrate throughput. In the preferred embodiments, the heat transferring mechanisms include a high surface area heat sink connecting the substrate-supporting paddle with a robot arm. Cooling fins can enhance surface area and thus enhance heat dissipation from the heat sink. Cooling channels can extend through paddle and heat sink, either containing circulating fluid for carrying heat beyond the end-effector or a phase changing material in an enclosed heat pipe.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: December 31, 2002
    Assignee: Matrix Integrated Systems, Inc.
    Inventor: Albert Wang