Wafer Cassette Transporting Patents (Class 414/940)
  • Patent number: 6997664
    Abstract: The present invention proposes an apparatus for loading and unloading wafers to and from the semiconductor fabrication equipment. The present invention uses two U-shaped port plate supporters of high rigidity to respectively join with drive devices such as lead screws, shaft bearings, and a lead device, and then join with components such as a port plate, a port door, and a base. The assembly is driven by a motor via timing pulleys, timing belts, idle wheels, a pair of lead screws, shaft bearings, and a lead device. An encoder is matched for feedback control. Thereby, accurate positioning of the main mechanism of the wafer pod responsible for upward and downward movement can be achieved so as to increase the accuracy and reliability of positioning transfer of wafers. Secondarily, the contamination of particles resulted from the motion of the main mechanism can be reduced by using an intake filtering system.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: February 14, 2006
    Assignee: Industrial Technology Research Institute
    Inventors: Muh-Wang Liang, Chun-Kai Huang, Jiann-Cherng Chen, Tzong-Ming Wu, Ping-Yu Hu, Kuan-Chou Chen
  • Patent number: 6990721
    Abstract: A method for installing an automated material handling system in a semiconductor fabrication facility capable of accommodating a predetermined number of fabrication bays. The handling system has a set of elements including material storage, interbay transport, and intrabay transport elements corresponding to the predetermined number of fabrication bays. The method comprises arranging at least some of the material storage, interbay transport and intrabay transport elements into handling system subsets, and installing one or more of the subsets into the fabrication facility. Each subset has at least one or more corresponding ones of the material storage, interbay transport and intrabay transport elements so that when installed each subset forms a transport circuit allowing substantially unrestricted bi-directional travel of semiconductor device holders between the material storage and at least one of the fabrication bays.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: January 31, 2006
    Assignee: Brooks Automation, Inc.
    Inventors: Thomas R. Mariano, Timothy A. McCabe, Carl Johnson
  • Patent number: 6985794
    Abstract: Management of move requests from a factory system to an automated handling system (AMHS) is provided. In one embodiment, a method and system is provided which includes receiving a move request from the factory system and selectively passing the move request to the AMHS based on a comparison of the move request with one or more conditions of the AMHS. The move request may be selectively passed to the AMHS by, for example, passing the move request to the AMHS without modification, changing a destination tool identified in the move request and/or delaying the move request, or canceling the move request. By selectively passing the move request based on conditions of the AMHS, move requests can more efficiently be managed and the throughput of the automated material handling system can be increased.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: January 10, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael R. Conboy, Russel Shirley, Jason Grover
  • Patent number: 6968753
    Abstract: A vibration-dampening base for a ball-type lead screw of a load port transfer system is disclosed. A load port transfer system includes a vertical arm drive motor assembly, a ball-type lead screw, and a vibration-dampening base connecting the vertical arm drive motor assembly to the ball-type lead screw. The vibration-dampening case can include a cross member into which the ball-type lead screw is disposed, one or more pillar members supporting the cross member, and one or more support stands. Each support stand supports a corresponding one of the one or more pillar members.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: November 29, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co.
    Inventors: Huan-Liang Tzeng, Tzu-Chieh Chou, Ping-Jen Cheng
  • Patent number: 6960257
    Abstract: A processor for processing integrated circuit wafers, semiconductor substrates, data disks and similar units requiring very low contamination levels. The processor has an interface section which receives wafers in standard wafer carriers. The interface section transfers the wafers from carriers onto novel trays for improved processing. The interface unit can hold multiple groups of multiple trays. A conveyor having an automated arm assembly moves wafers supported on a tray. The conveyor moves the trays from the interface along a track to several processing stations. The processing stations are accessed from an enclosed area adjoining the interface section.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: November 1, 2005
    Assignee: Semitool, Inc.
    Inventors: Raymon F. Thompson, Robert W. Berner, Gary L. Curtis, Stephen P. Culliton, Blaine G. Wright, Darryl S. Byle
  • Patent number: 6955197
    Abstract: In a first aspect, an automatic door opener is provided that includes (1) a platform adapted to support a substrate carrier; (2) a door opening mechanism adapted to open a door of the substrate carrier while the substrate carrier is supported by the platform; and (3) a tunnel. The tunnel is adapted to extend from an opening in a clean room wall toward the platform and at least partially surround the platform. The tunnel is further adapted to direct a flow of air from the clean room wall toward the platform and out of the tunnel. Numerous other aspects are provided.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: October 18, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Michael R. Rice, Robert B. Lowrance, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 6941185
    Abstract: A vacuum processing apparatus and method wherein a plurality of processing units are for conducting processing, a transfer processing unit is connected with the plurality of processing units for carrying wafers to the processing units, a transfer device is disposed in the transfer processing unit and carries the wafers and cassettes for containing the wafers, and a control unit is provided for conducting transfer control for transferring the wafers from respective cassettes to the transfer processing unit. The wafers are processed by using the plural processing units, and at least two of the cassettes are used. Parallel processing is conducted of applying same processing to the wafers contained on each of the cassettes by applying the same recipe and the wafers, after applying the parallel processing, are returned to the original cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: September 6, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6931303
    Abstract: A transport system within a fabrication system. The fabrication system contains a plurality of tool bays, each of which has a plurality of processing tools for processing articles. The transport system contains a plurality of intrabay transport subsystems, an interbay transport subsystem, a plurality of stockers, and at least one linking subsystem. Each intrabay transport subsystem is dedicated to transporting articles within a particular tool bay. The interbay transport subsystem, linking the tool bays, transports articles between the tool bays. The stockers, located between the intrabay and interbay transport subsystems, store articles between processing and transfer articles between the intrabay and interbay transport subsystems. The linking subsystem, located between two adjacent intrabay transport subsystems, provides direct transport between the two corresponding tool bays.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: August 16, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ko-Pin Chang, Jui-An Shih, Hui-Tang Liu
  • Patent number: 6926937
    Abstract: A tray for handling and retaining a plurality of small components comprising a rigid body portion with a plurality of pockets formed therein. Each of the pockets has an elastomeric contact surface for contacting and retaining a component. The contact surface may be formed from a thermoplastic material having a surface energy between 20 dyne/cm and 100 dyne/cm, and a surface electrical resistivity of between about 1×104 ohms/square and 1×1012 ohms/square. The material for the contact portion may be urethane, polybutylene terephthalate, polyolefin, polyethylene terephthalate, styrenic block co-polymer, styrene-butadiene rubber, polyether block polyamide, or polypropylene/crosslinked EDPM rubber.
    Type: Grant
    Filed: September 11, 2002
    Date of Patent: August 9, 2005
    Assignee: Entegris, Inc.
    Inventors: Charles W. Extrand, Frank Manganiello
  • Patent number: 6926489
    Abstract: A latch sensor for a pod transport gripper for transferring semiconductor wafers is disclosed. The transport gripper has a left bar and a right bar, as well as a cross bar connecting the left and the right bars. The gripper also has a left clamp and a right clamp disposed on interior sides of the left bar and the right bar, respectively, to clamp a pod, such as a front-opening unified pod (FOUP), for transport. A number of latches are disposed on the cross bar, and correspond to a number of latch holes of the pod. The gripper has at least one latch sensor disposed on either the left bar, the right bar, or both, to determine whether the latches have properly engaged the latch holes of the pod.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: August 9, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yan-Ping Lee, Kuo-Hsing Teng, Chi-Chung Chang, Fu-Shun Lo
  • Patent number: 6927181
    Abstract: A transfer robot (5) for thin substrate capable of efficiently detecting the stored state of thin substrates and an inspection method for thin substrate capable of accurately detecting the stored state of thin substrates; the robot (5), comprising an inspection camera (1) for detecting the stored state of the thin substrates (3) in a storage cassette (2), wherein the plurality of thin substrates (3) stored in the storage cassette (2) are carried out from the storage cassette (2) by the robot.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Hitoshi Wakizako, Kazunari Shiraishi, Yukito Sagasaki, Ken-ichi Motonaga, Kazunori Hino, Hiroki Sanemasa
  • Patent number: 6914251
    Abstract: Apparatus and method for placement of workpieces such as silicon wafers in relation to an integrated circuit fabrication tool. A robotic arm mounted in relation to the tool moves workpieces in and out of the tool. A spacer has an exposed spacer surface facing away from the tool. The relative position of this exposed spacer surface is adjustable with respect to the tool. A movable cassette support supports one or more workpieces and is placed in abutting relationship with the spacer surface. It is onto this cassette support surface that a conveyor system such as an overhead transport delivers cassettes containing workpieces such as wafers for subsequent treatment by the tool.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: July 5, 2005
    Assignee: Axcelis Technologies, Inc.
    Inventors: Steven D. Weed, Allan D. Weed
  • Patent number: 6904699
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: March 10, 2004
    Date of Patent: June 14, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6906790
    Abstract: Robotic reticle manipulators are disclosed for use in holding and conveying, with good stability, thin, circular reticles as used in charged-particle-beam microlithography. A manipulator embodiment includes at least one arm configured for executing movements in the X-, Y-, and Z-directions. Connected distally to the at least one arm is a U-shaped fork (as an exemplary reticle-support member) defining recessed surfaces and vacuum ports for holding the reticle at the reticle's narrow handling zone located along the periphery of the reticle. Each vacuum port includes an upwardly extending lip that defines, on its “upward”-facing surface, a respective reticle-contact surface. Three such vacuum ports are provided on the fork and are nearly equidistantly separated from one another. Thus, as the reticle is being held and conveyed by the manipulator, the reticle is securely held to prevent reticle damage.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: June 14, 2005
    Assignee: Nikon Corporation
    Inventors: Hidekazu Kikuchi, Akihiro Yamamoto
  • Patent number: 6901971
    Abstract: A system is disclosed allowing non-invasive, continuous local and remote sensing of the internal environmental characteristics of transportable containers. The system utilizes a variety of sensors inside the container to sense internal environmental conditions.
    Type: Grant
    Filed: January 8, 2002
    Date of Patent: June 7, 2005
    Assignee: Entegris, Inc.
    Inventors: Jerry A. Speasl, Edward Dante
  • Patent number: 6896470
    Abstract: The invention includes mainly a machine base, a carrier, a sliding control mechanism, a latch mechanism, a horizontal shifting mechanism, and a lifting mechanism. The FOUP (front-opening unified pod) is put on the carrier and latched by a locking plate of the latch at an accurate position. The carrier moves forwardly to tightly engage the FOUP to a gate on an access at a backboard of the machine base, and thus a cover of the FOUP is opened by a headstock gear at the back of the gate then carried backwardly away from the FOUP by the horizontal shifting mechanism and lowered by the lifting mechanism. Reversely, the cover is closed on the FOUP. As such, the cover is loaded and opened automatically, as well as in closed, which can be a part of automation and prevents wafers from contamination.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 24, 2005
    Assignee: Industrial Technology Research Institute
    Inventors: Kuan-Chou Chen, Ping-Yu Hu, Kuei-Jung Chen, Tzong-Ming Wu, Wu-Lang Lin, Wen-Yo Lee
  • Patent number: 6896513
    Abstract: A system and method for processing large area substrates is provided. In one embodiment, a processing system includes a transfer chamber having at least one processing chamber and a substrate staging system coupled thereto. The staging system includes a load lock chamber having a first port coupled to the transfer chamber and a heat treating station coupled to a second port of the load lock chamber. A load lock robot is disposed in the load lock chamber to facilitate transfer between the heat treating station and the load lock chamber.
    Type: Grant
    Filed: September 12, 2002
    Date of Patent: May 24, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Robert Z. Bachrach, Wendell T. Blonigan
  • Patent number: 6895685
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: May 24, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6889813
    Abstract: A controlled material transport method for carrying materials to and from workstations, test equipment, and processing and assembly tools in a common facility. The present invention includes a rigid “robot vehicle” mountable to a passive track system, which can be routed to service all processing tools on the factory floor. The robot vehicle includes a hoist assembly and gripper assembly, which together perform such functions as picking up magazines, placing magazines, and loading magazines into the processing tools. The hoist assembly is capable of functioning in an operational envelope, which includes any target location within a 3-axis Cartesian coordinate system, to the extent of the range of motion of the hoist assembly. The hoist assembly also provides rigid and controlled z-axis travel, while being compact when retracted. The gripper assembly facilitates loading of the magazines, especially chute style magazines, which are commonly found on many existing processing tools.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: May 10, 2005
    Assignee: Amkor Technology, Inc.
    Inventors: Harold L. Trammell, Robert H. Bond
  • Patent number: 6886272
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: May 3, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6887358
    Abstract: An installation for processing wafers with a plurality of fabrication units and a plurality of measurement units as well as a transport system for transporting the wafers, is described. A transport control unit, which detects a capacity utilization of the installation and saves a processing sequence of the wafers, is allocated to the transport system. As a function of these parameters, control instructions are generated in the transport control unit, and can be output to the transport system for controlling the wafer transport procedure.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: May 3, 2005
    Assignee: Infineon Technologies AG
    Inventor: Jürgen Elger
  • Patent number: 6887026
    Abstract: A system for handling a semiconductor product container contains a handler for transporting and positioning the container. A loading/unloading position requires first support members forming part of a loading/unloading device engaging with second support members forming part of the container. The system contains a detector for detecting reference point positions of the container and a localization device for computing the position of the container from the detected reference point positions, and allows for handling the containers without the need of mounting any support pins on each storage location.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: May 3, 2005
    Assignee: Infineon Technologie SC300 GmbH & Co. KG
    Inventor: Gregor Kübart
  • Patent number: 6885906
    Abstract: A vacuum processing method and apparatus having one cassette containing wafers which are to be transferred in a preset transferring order to a processing unit via a transfer unit, and another cassette containing wafers to be processed on an emergency basis. Automatic control of processing a wafer from the one cassette is effected, and in response to a request for emergency processing of a water of the another cassette, the automatic processing control of the one wafer from the one cassette is temporarily stopped while completing processing of the wafer of the one cassette returning the same to the one cassette. Emergency processing is initiated by transferring a wafer from the another cassette to the vacuum processing unit via the transfer unit. The processing of the emergency wafer is completed and the processed emergency wafer is returned to the another cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: April 26, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6881020
    Abstract: A pod transfer system having a retractable mast and a rotatable and vertically movable hoist is disclosed. A first extendable robot is situated under a first overhead transfer (OHT) unit, and a second extendable robot is situated under the second OHT unit. Each extendable robot has a fully retracted position for loading a pod from its respective OHT unit, as well as a fully extended position. The retractable mast is situated between a first conveyer and a second conveyer that are themselves situated between the OHT units. The retractable mast has a default fully extended position and a tool move-in fully retracted position. The hoist is situated on the retractable mast. The hoist is able to load the pod from either robot when the robot is in its fully extended position, and is able to load the pod to one of the conveyors.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: April 19, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Cheng-Chang Chang, Chien-Jung Huang
  • Patent number: 6877944
    Abstract: For bay type structures of semiconductor wafer transport systems, a configuration includes interbay rail tracks and intrabay rail tracks mounted on each other, vehicles of the interbay system and carrier transfer cars of the intrabay system moving freely bi-directional inside the bay area without obstructing each other. The carrier transfer car is configured such that a wafer carrier can be directly loaded from the vehicle to the load port of a processing machine. The configuration simplifies and accelerates the transfer and enhances the flexibility of vehicles in wafer transport.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: April 12, 2005
    Assignee: Infineon Technologies SC300 GmbH & Co. KG
    Inventor: Martin Peiter
  • Patent number: 6875282
    Abstract: A substrate transport container is used, for example, in the process of manufacturing integrated circuits of less than 0.13 ?m line width, can hold the level of contaminants in the interior of the container for at least particles, acidic gases, basic gases, organic substances and humidity at controlled low levels, and has the size and structure to be compatible with automated semiconductor manufacturing plants. The container is provided with a door for loading and unloading substrates on a surface of a container main body and is constructed so as to hold the substrates inside the container main body at a given distance of separation. Air conditioning apparatuses for reducing the levels of particulate and gaseous contaminants are disposed roughly symmetrically on the container main body.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: April 5, 2005
    Assignee: Ebara Corporation
    Inventors: Akira Tanaka, Yoko Suzuki, Takashi Kishi
  • Patent number: 6869263
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, apparatus for removing a door of a substrate magazine and thus opening the substrate magazine, and for operating the aperture closure to open the aperture, and an elevator for precisely positioning the open substrate magazine along a vertical axis within a usable range of motion. The station may also include a sensor for mapping locations of the substrates, and a mini-environment for interfacing the station to a substrate processing system.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: March 22, 2005
    Assignee: Brooks Automation, Inc.
    Inventor: Ulysses Gilchrist
  • Patent number: 6869457
    Abstract: A clean room has an equipment installation area where an apparatus for treating an object to be treated such as a semiconductor wafer is installed, a process area 4 where the object is loaded in or unloaded from the apparatus, and an operation area where operations of the apparatus are executed. The equipment installation area, the process area and the operation area are arranged horizontally in the above-stated order and separated by partitions. These areas are air-conditioned independently of one another. The clean room is thus capable of preventing contamination of the object and a running cost of chemical filters in the clean room is reduced.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: March 22, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Toshiaki Nakagawa
  • Patent number: 6866460
    Abstract: An apparatus for loading media carriers into a processing chamber, including a pivoting arm mechanism which accepts a carrier in at a lower position, locks it on the arm, and provides a power-assisted movement of the carrier lifting it into an upper position proximate the opening to the processing chamber, where the arm is locked in place, with the carrier then pushed along a ramp on the arm directly into the processing chamber.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: March 15, 2005
    Assignee: Semitool, Inc.
    Inventors: Jeffry Alan Davis, Andro Ardiano Purnomohadi, Daniel Thomas Potter
  • Patent number: 6857841
    Abstract: A vehicle for transporting semiconductor devices is used for servicing loadports of semiconductor processing tools with device carriers by use of a portal hoist. Thereby, the vehicle contains an empty inner space and an open front side, such that the loadport is enclosed by the vehicle, when it approaches and docks to the loadport. Time for loading is shortened, complexity of structure and procedure is reduced and cleanroom space is saved.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: February 22, 2005
    Assignee: Infineon Technologies SC300 GmbH & Co. KG
    Inventor: Michael Lering
  • Patent number: 6851913
    Abstract: A transport system for transporting transport containers storing articles in a sealed condition is disclosed. Each transport container has a support portion formed on an upper portion thereof. When the transport container is moved with the support portion suspended, a receiving device supports the bottom of the transport container to lessen the load applied to the support portion. As a result, deformation of the transport container is restrained to maintained the sealed condition.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: February 8, 2005
    Assignee: Daifuki Co., Ltd.
    Inventor: Yukio Iizuka
  • Patent number: 6853872
    Abstract: A vacuum processing method and apparatus processing units for conducting processing, a transfer processing unit connected with the plurality of processing units for carrying wafers, and a control unit for controlling the processing units. A processing order information storing device stores a processing order of the wafers for the processing units, an operational information signal generating device generates an operational information signal indicating an operable or inoperable state of each of the processing units, an operational information signal storing device stores the operational information signal indicating the state of each of the processing units, and a control device matches and processes the processing order information and the operational information signal, and continues operation without using an inoperable processing unit while using other operable processing units.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: February 8, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6848882
    Abstract: An apparatus and a method for positioning a cassette pod onto a loadport by an overhead hoist transport system are described. The apparatus includes a vertical front panel of a process machine equipped with a docking opening therein, a loadport stage extending horizontally from the vertical front panel, a loadport situated on the loadport stage, at least two back guiding plates situated on the back of the loadport, at least two side guiding plates with one situated on each side of the loadport, a front guiding plate situated on the front side of the loadport, and a clamp positioner for guiding the position of an OHT clamp during an unloading operation of the cassette pod. The two halves of the clamp positioner move sideways away from each other to allow the cassette pod to pass therethrough during a loading operation.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: February 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Ho-Jen Chen, Fan-Lin Lu, Ko-Chin Chung, Yu-Tan Tseng
  • Patent number: 6845294
    Abstract: A method for providing distributed material management and flow control in an integrated circuit (IC) factory. The IC factory comprises a factory stocker, a plurality of process bays and a factory transport agent for moving wafer cassettes between the bay and the stocker. Each of the bays comprises a bay stocker, a plurality of tools, a mini-stocker and a bay transport agent for moving wafers amongst the bay components. The apparatus uses partitioned stockers to facilitate deadlock avoidance or deadlock resolution. Additionally, various algorithms are used to detect wafer cassette movement situations where deadlocks may result from a wafer cassette movement within a bay and for resolving deadlocks when they occur.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dusan Jevtic, Raja S. Sunkara
  • Patent number: 6837663
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by using an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: June 12, 2003
    Date of Patent: January 4, 2005
    Assignee: Brooks Automation, Inc.
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6833048
    Abstract: A processing apparatus is presented for applying to a substantially flat workpiece contained in a cassette, and a processing tool coupled to the housing. The processing tool is displaceable along three mutually perpendicular axes relative to the cassette for inserting the tool into the gap and moving the tool inside the gap relative to the workpiece. The processing apparatus can be utilized in chemical mechanical polishing arrangement, photolithography arrangement, and CVD arrangement.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: December 21, 2004
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Moshe Finarov, Rani Kipper
  • Patent number: 6832863
    Abstract: A series of substrate transport paths for transporting substrates is arranged on upper and lower stories. Substrates are transferable between the substrate transport path on the first story and the substrate transport path on the second story. The paths include a going-only path for transporting the substrates forward, and a return-only path for transporting the substrates in the opposite direction, these paths being arranged on the upper and lower stories. An indexer connects one end of the substrate transport path on one story to one end of the substrate transport path on the other story. An interface connects the other end of the substrate transport path on one story to the other end of the substrate transport path on the other story. This construction efficiently reduces a waiting time due to interference between the substrates transported along the going-only path and the substrates transported along the return-only path.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: December 21, 2004
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kenji Sugimoto, Minobu Matsunaga, Masakazu Sanada, Katsushi Yoshioka, Kaoru Aoki, Moritaka Yano, Satoshi Yamamoto, Tsuyoshi Mitsuhashi, Takashi Nagao, Mitsumasa Kodama
  • Patent number: 6833035
    Abstract: A processor for processing integrated circuit wafers, semiconductor substrates, data disks and similar units requiring very low contamination levels. The processor has an interface section which receives wafers in standard wafer carriers. The interface section transfers the wafers from carriers onto novel trays for improved processing. The interface unit can hold multiple groups of multiple trays. A conveyor having an automated arm assembly moves wafers supported on a tray. The conveyor moves the trays from the interface along a track to several processing stations. The processing stations are accessed from an enclosed area adjoining the interface section.
    Type: Grant
    Filed: May 22, 2000
    Date of Patent: December 21, 2004
    Assignee: Semitool, Inc.
    Inventors: Raymond F. Thompson, Robert W. Berner, Gary L. Curtis, Stephen P. Culliton, Blaine G. Wright
  • Patent number: 6832680
    Abstract: In a work conveying system including at least a work holder for holding a work and a horizontal mover for moving the work holder horizontally in an upper ceiling space within a clean room, the work being conveyed to each of plural processing apparatuses. The horizontal mover has at least one linear moving mechanism including a duct with an internal guide, a traveling body engaging the guide and traveling through the traveling path defined by the duct, and a slider connected to the traveling body for travel with the traveling body on the exterior of the traveling path. The work holder is attached to the slider. Air cleaners are disposed at suitable intervals in the traveling path to clean the air therein and to discharge the cleaned air to the exterior. The traveling path accommodates a drive source, a drive mechanism, and a power supply.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: December 21, 2004
    Assignee: Hirata Corporation
    Inventor: Kazuyuki Matsumura
  • Patent number: 6830449
    Abstract: The present invention provides an injector robot for replacing a gas injector in a running furnace, which is still running and without any cooling and reheating action. According to the present invention, the injector robot is arranged and mounted upon a boat elevator, which is originally used to transport a boat with wafers into the furnace. The replacement of the gas injector could be executed precisely and safely by the assistant means and will not affect the predetermined procedure of the furnace.
    Type: Grant
    Filed: February 2, 2004
    Date of Patent: December 14, 2004
    Assignee: SIS Microelectronics Corporation
    Inventor: Cheng-Chung Hung
  • Patent number: 6830651
    Abstract: A load port which can selectively receive plural types of cassette having substrate which are to be processed accommodated therein is disclosed. The load port has the following constituents. That is, the load port includes a main body, an opening portion (which has a configuration that opens in the three surfaces) formed in one side surface of the main body, a placement table formed in the opening portion and used to place the cassette thereon, a first sensor mechanism (which identifies the type of the cassette) provided on the placement table, plural types of clamp mechanism (each type of the clamp mechanism clamping the corresponding type of cassette) provided on the placement table, and an up-down-type cover mechanism (which is vertically moved) which covers the opening portion of the main body.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: December 14, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 6821073
    Abstract: A carrier is carried between a receiving stage (21) (or a delivery stage (22)) by a movable table (24). Each of the stages is provided with a cut-away area (30) extending from one end of the stage to a predetermined position at which the carrier is placed on the stage and allowing the movable table (24) to move vertically therethrough. The movable table (24) is moved under the stage to a position directly below the carrier mounted on the stage, is raised through the cut-away area (30) to lift up the carrier, and is moved away from the stage together with the carrier. A sensing device (10) incorporated into the movable table (24) inspects the condition of wafers contained in the carrier while the movable table (24) is being moved to the position directly below the carrier placed on the stage.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: November 23, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Akira Koguchi
  • Patent number: 6821082
    Abstract: A wafer management system has a first stationary wafer storage system (100) with a first buffer (110) for storing a plurality of wafers in slots, a first load-and-unload station (115) for transferring the wafers between the first buffer (110) and intra-bay pods (120, 130) assigned to a first bay (160), and a second load-and-unload station (184) for transferring wafers between the first buffer (110) and further pods (520, 530). The storage system (100) and the bay (160) form a single unit. Multiple units are linked together by tracks (500).
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: November 23, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Richard McGowan
  • Patent number: 6821912
    Abstract: A substrate processing pallet has a top surface and a plurality of side surfaces. The top surface has at least one recess adapted to receive a substrate. The recess includes a support structure adapted to contact a portion of a substrate seated in the recess and a plurality of apertures each adapted to accommodate a lift pin. Lift pins can extend through the apertures initially to support the substrate and retract to deposit the substrate onto the support structure. A side surface includes a process positioning feature adapted to engage with a feature located in a process chamber to position the pallet. A side surface includes a positioning feature adapted to engage with an end effector alignment feature to position the pallet with respect to the end effector during transport. A side surface includes support features adapted to engage with end effector support features to support the pallet during transport.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: November 23, 2004
    Assignee: NEXX Systems Packaging, LLC
    Inventors: Martin P. Klein, David Felsenthal, Piero Sferlazzo
  • Patent number: 6814507
    Abstract: A substrate treating apparatus includes a heat-treating unit having a cooling unit and a local transport mechanism. The local transport mechanism, in time of standby, is placed in a standby position inside the cooling unit. The local transport mechanism in the standby position influences, and is influenced by, the environment outside the heat-treating unit less than where the local transport mechanism is kept on standby outside the heat-treating unit. Variations in substrate treating precision due to such adverse influences are reduced to perform substrate treatment with high precision.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: November 9, 2004
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Yukihiko Inagaki
  • Patent number: 6811369
    Abstract: In a semiconductor fabrication apparatus, a pod that receives a single substrate using a substrate supporting table and a lid member in a sealing state is loaded from outside of a chamber and the pod is opened within the chamber so as to enable the substrate received in the pod to be held and managed. The semiconductor fabrication apparatus includes a first pod conveyance device that transfers the pod from a pod loading position to a pod opening position, a pod opening device that causes the lid member of the pod transferred by said first pod conveyance device to be left outside the chamber and causes the supporting table to be separated into the chamber from the pod. A substrate storage rack provided within the chamber stores a plurality of substrates, and a substrate carrying device provided within the chamber takes out the substrate on the substrate supporting table and puts the substrate into the substrate storage rack.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: November 2, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kohei Yamada
  • Patent number: 6808352
    Abstract: A substrate container having substrates stored therein and sealed with a door is placed onto a load port apparatus provided on a substrate processing system, and a door of the load port apparatus is docked with the door of the substrate container. An inside of the substrate container is pressurized before opening of the door of the substrate container before the door of the substrate container is opened and the substrates stored in the substrate container is transported to the substrate processing system.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: October 26, 2004
    Assignee: Semiconductor Leading Edge Technolgies, Inc.
    Inventor: Hisaharu Seita
  • Patent number: 6799932
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: October 5, 2004
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Publication number: 20040191030
    Abstract: According to a first aspect, a first conveyor system is provided that is adapted to deliver substrate carriers within a semiconductor device manufacturing facility. The first conveyor system includes a ribbon that forms a closed loop along at least a portion of the semiconductor device manufacturing facility. The ribbon is adapted to (1) be flexible in a horizontal plane and rigid in a vertical plane; and (2) transport a plurality of substrate carriers within at least a portion of the semiconductor device manufacturing facility. Numerous other aspects are provided, as are systems, methods and computer program products in accordance with these and other aspects.
    Type: Application
    Filed: January 26, 2004
    Publication date: September 30, 2004
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Michael R. Rice, Robert B. Lowrance, Martin R. Elliott, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 6779667
    Abstract: A modular carrier system uses a shell portion to house semiconductor wafer disks or similar inventory. The modular carrier system uses an external frame with accessories attached to the frame so that multiple configurations of accessories are possible with each shell portion and the accessories can be recycled when the shell portion is disposed.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: August 24, 2004
    Assignee: Entegris, Inc.
    Inventors: James Nigg, Ralph Henderer