Having Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/656)
  • Patent number: 8449731
    Abstract: Local plasma density, e.g., the plasma density in the vicinity of the substrate, is increased by providing an ion extractor configured to transfer ions and electrons from a first region of magnetically confined plasma (typically a region of higher density plasma) to a second region of plasma (typically a region of lower density plasma). The second region of plasma is preferably also magnetically shaped or confined and resides between the first region of plasma and the substrate. A positively biased conductive member positioned proximate the second region of plasma serves as an ion extractor. A positive bias of about 50-300 V is applied to the ion extractor causing electrons and subsequently ions to be transferred from the first region of plasma to the vicinity of the substrate, thereby forming higher density plasma. Provided methods and apparatus are used for deposition and resputtering.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: May 28, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Anshu A. Pradhan, Douglas B. Hayden, Ronald L. Kinder, Alexander Dulkin
  • Patent number: 8450772
    Abstract: A phase change RAM device includes a semiconductor substrate having a phase change cell area and a voltage application area; a first oxide layer, a nitride layer and a second oxide layer sequentially formed on the semiconductor substrate; a first plug formed in the first oxide layer, the nitride layer and the second oxide layer of the phase change cell area; a second plug formed in the first oxide layer and the nitride layer of the voltage application area; a conductive line formed in the second oxide layer; a third oxide layer formed on the second oxide layer; a lower electrode shaped like a plug, the lower electrode being formed so as to directly make contact with the first plug; and a phase change layer and an upper electrode sequentially formed on the lower electrode in a pattern form.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: May 28, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Heon Yong Chang, Suk Kyoung Hong, Hae Chan Park
  • Patent number: 8450207
    Abstract: The present invention proposes the use of a silicon nitride layer on top of a second conductive layer. After a step of etching a second conductive layer, an oxide spacer is formed to define a gap. Then, another silicon nitride layer fills up the gap. After that, the oxide spacer is removed. Later, a first conductive layer is etched to separate the digit line to cell contact line.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: May 28, 2013
    Assignee: Nanya Technology Corp.
    Inventors: Shyam Surthi, Lars Heineck
  • Patent number: 8435886
    Abstract: A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: May 7, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Cem Basceri, Donald L. Westmoreland
  • Patent number: 8420519
    Abstract: Methods are provided for fabricating integrated circuits having controlled threshold voltages. In accordance with one embodiment a method includes forming a gate dielectric overlying an N-doped silicon substrate and depositing a layer of titanium nitride and a layer of tantalum nitride overlying the gate dielectric. A sub-monolayer of tantalum oxide is deposited overlying the layer of tantalum nitride by a process of atomic layer deposition, and oxygen is diffused from the tantalum oxide through the tantalum nitride and titanium nitride.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: April 16, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Dina Triyoso, Elke Erben, Klaus Hempel
  • Patent number: 8409953
    Abstract: In a semiconductor device and associated methods, the semiconductor device includes a substrate, an insulation layer on the substrate, a conductive structure on the insulation layer, the conductive structure including at least one metal silicide film pattern, a semiconductor pattern on the conductive structure, the semiconductor pattern protruding upwardly from the conductive structure, a gate electrode at least partially enclosing the semiconductor pattern, the gate electrode being spaced apart from the conductive structure, a first impurity region at a lower portion of the semiconductor pattern, and a second impurity region at an upper portion of the semiconductor pattern.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: April 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Man Yoon, Yong-Chul Oh, Hui-Jung Kim, Hyun-Woo Chung, Hyun-Gi Kim, Kang-Uk Kim
  • Publication number: 20130078801
    Abstract: Disclosed is a manufacture method of a double layer gate electrode by patterning the photoresist layer with a half tone mask to make thicknesses of two sides of the photoresist layer are smaller than a thickness of middle of the photoresist layer and twice wet etchings thereafter to realize the manufacture of the double layer gate electrode. The present invention also relates to a manufacture method of a thin film transistor. The manufacture methods of a double layer gate electrode and a relevant thin film transistor according to the present invention employs half tone mask and twice wet etchings thereafter for manufacturing the gate electrode to solve technical problems of high manufacture cost and great manufacture difficulty of double layer gate electrodes according to prior arts.
    Type: Application
    Filed: October 7, 2011
    Publication date: March 28, 2013
    Applicant: SHENZHEN CHINA STAR OPTOELECTRONICS TECHNOLOGY CO.,LTD.
    Inventors: Chengcai Dong, Jehao Hsu
  • Patent number: 8389403
    Abstract: According to one embodiment, after forming transistors on a semiconductor substrate, a stopper layer and an interlayer insulating film are formed. Then, a contact hole is formed in the interlayer insulating film and a copper film is formed on the interlayer insulating film to bury the inside of the contact hole with copper. After that, the copper film on the interlayer insulating film is removed by low-pressure CMP polishing or ECMP polishing to planarize a surface thereof to form plugs. Thereafter, a barrier metal, a lower electrode, a ferroelectric film, and an upper electrode are formed. In this manner, a semiconductor device (FeRAM) having a ferroelectric capacitor is formed.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: March 5, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Wensheng Wang
  • Patent number: 8372739
    Abstract: An interconnect structure for an integrated circuit and method of forming the interconnect structure. The method includes depositing a metallic layer containing a reactive metal in an interconnect opening formed within a dielectric material containing a dielectric reactant element, thermally reacting at least a portion of the metallic layer with at least a portion of the dielectric material to form a diffusion barrier primarily containing a compound of the reactive metal from the metallic layer and the dielectric reactant element from the dielectric material, and filling the interconnect opening with Cu metal, where the diffusion barrier surrounds the Cu metal within the opening. The reactive metal can be Co, Ru, Mo, W, or Ir, or a combination thereof. The interconnect opening can be a trench, a via, or a dual damascene opening.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: February 12, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Satohiko Hoshino, Kuzuhiro Hamamoto, Shigeru Mizuno, Yasushi Mizusawa
  • Patent number: 8367546
    Abstract: Novel low-resistivity tungsten film stack schemes and methods for depositing them are provided. The film stacks include a mixed tungsten/tungsten-containing compound (e.g., WC) layer as a base for deposition of tungsten nucleation and/or bulk layers. According to various embodiments, these tungsten rich layers may be used as barrier and/or adhesion layers in tungsten contact metallization and bitlines. Deposition of the tungsten-rich layers involves exposing the substrate to a halogen-free organometallic tungsten precursor. The mixed tungsten/tungsten carbide layer is a thin, low resistivity film with excellent adhesion and a good base for subsequent tungsten plug or line formation.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: February 5, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Raashina Humayun, Kaihan Ashtiani, Karl B. Levy
  • Publication number: 20130020708
    Abstract: A semiconductor device including a plurality of copper interconnects. At least a first portion of the plurality of copper interconnects has a meniscus in a top surface. The semiconductor device also includes a plurality of air gaps, wherein each air gap of the plurality of air gaps is located between an adjacent pair of at least the first portion of the plurality of bit lines.
    Type: Application
    Filed: July 19, 2011
    Publication date: January 24, 2013
    Applicant: SanDisk Technologies, Inc
    Inventors: Vinod R. Purayath, James K. Kai, Jayavel Pachamuthu, Jarrett Jun Liang, George Matamis
  • Patent number: 8357607
    Abstract: A nitride-based semiconductor light-emitting device 100 includes a GaN substrate 10, of which the principal surface is an m-plane 12, a semiconductor multilayer structure 20 that has been formed on the m-plane 12 of the GaN-based substrate 10, and an electrode 30 arranged on the semiconductor multilayer structure 20. The electrode 30 includes an Mg alloy layer 32 which is formed of Mg and a metal selected from a group consisting of Pt, Mo, and Pd. The Mg alloy layer 32 is in contact with a surface of a p-type semiconductor region of the semiconductor multilayer structure 20.
    Type: Grant
    Filed: March 9, 2010
    Date of Patent: January 22, 2013
    Assignee: Panasonic Corporation
    Inventors: Mitsuaki Oya, Toshiya Yokogawa, Atsushi Yamada, Ryou Kato
  • Patent number: 8357611
    Abstract: A semiconductor device having good TFT characteristics is realized. By using a high purity target as a target, using a single gas, argon (Ar), as a sputtering gas, setting the substrate temperature equal to or less than 300° C., and setting the sputtering gas pressure from 1.0 Pa to 3.0 Pa, the film stress of a film is made from ?1×1010 dyn/cm2 to 1×1010 dyn/cm2. By thus using a conducting film in which the amount of sodium contained within the film is equal to or less than 0.3 ppm, preferably equal to or less than 0.1 ppm, and having a low electrical resistivity (equal to or less than 40 ??·cm), as a gate wiring material and a material for other wirings of a TFT, the operating performance and the reliability of a semiconductor device provided with the TFT can be increased.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: January 22, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Keiji Sato, Shunpei Yamazaki
  • Patent number: 8354306
    Abstract: A method of fabricating an organic light emitting diode display device includes: sequentially forming a thin film transistor (TFT) array, a first electrode, a bank pattern, a spacer, and a first relevant layer on an acceptor substrate; sequentially forming a metal pattern and an organic light emission material layer on a doner substrate; aligning and attaching the acceptor substrate and the doner substrate, and forming the light emission layer by transferring the organic light emission material onto the acceptor substrate by applying power to the metal pattern; and sequentially forming the second relevant layer and the second electrode on the light emission layer-formed acceptor substrate.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: January 15, 2013
    Assignee: LG Display Co., Ltd.
    Inventors: Woochan Kim, Byungchul Ahn, Changwook Han
  • Patent number: 8350344
    Abstract: Provided are a semiconductor device and a method of fabricating the same. The semiconductor device may include a charge storage structure and a gate. The charge storage structure is formed on a substrate. The gate is formed on the charge storage structure. The gate includes a lower portion formed of silicon and an upper portion formed of metal silicide. The upper portion of the gate has a width greater than that of the lower portion of the gate.
    Type: Grant
    Filed: March 10, 2011
    Date of Patent: January 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Min Son, Woon-Kyung Lee
  • Publication number: 20130001786
    Abstract: A method of forming overlapping contacts in a semiconductor device includes forming a first contact in a dielectric layer; etching the dielectric layer to form a recess adjacent to the first contact and removing a top portion of the first contact while etching the dielectric layer, wherein a bottom portion of the first contact remains in the dielectric layer after the recess is formed in the dielectric layer; and forming a second contact in the recess adjacent to the bottom portion of the first contact and on top of a top surface of the bottom portion of the first contact.
    Type: Application
    Filed: June 29, 2011
    Publication date: January 3, 2013
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, STMICROELECTRONICS, INC., GLOBALFOUNDRIES INC.
    Inventors: Brett H. Engel, Lindsey Hall, David F. Hilscher, Randolph F. Knarr, Steven R. Soss, Jin Z. Wallner
  • Patent number: 8344513
    Abstract: A system and a method for protecting through-silicon vias (TSVs) is disclosed. An embodiment comprises forming an opening in a substrate. A liner is formed in the opening and a barrier layer comprising carbon or fluorine is formed along the sidewalls and bottom of the opening. A seed layer is formed over the barrier layer, and the TSV opening is filled with a conductive filler. Another embodiment includes a barrier layer formed using atomic layer deposition.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: January 1, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Wen-Chih Chiou, Weng-Jin Wu
  • Publication number: 20120329274
    Abstract: The present invention proposes the use of a silicon nitride layer on top of a second conductive layer. After a step of etching a second conductive layer, an oxide spacer is formed to define a gap. Then, another silicon nitride layer fills up the gap. After that, the oxide spacer is removed. Later, a first conductive layer is etched to separate the digit line to cell contact line.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 27, 2012
    Inventors: Shyam Surthi, Lars Heineck
  • Publication number: 20120322258
    Abstract: A multi-layer TiN film with reduced tensile stress and discontinuous grain structure, and a method of fabricating the TiN film are disclosed. The TiN layers are formed by PVD or IMP in a nitrogen plasma. Tensile stress in a center layer of the film is reduced by increasing N2 gas flow to the nitrogen plasma, resulting in a Ti:N stoichiometry between 1:2.1 to 1:2.3. TiN films thicker than 40 nanometers without cracks are attained by the disclosed process.
    Type: Application
    Filed: August 23, 2012
    Publication date: December 20, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Gregory Charles HERDT, Joseph W. BUCKFELLER
  • Patent number: 8334205
    Abstract: The present invention provides a method for removing polymer after etching a gate stack structure of high-K gate dielectric/metal gate. The method mainly comprises the following steps: 1): forming a gate stack structure of interface Si2/high-K gate dielectric/metal gate/poly-silicon/hard mask in sequence on a silicon substrate with device isolations formed thereon; 2): forming a resist pattern by the lithography; 3): etching the gate stack structure; and 4): immersing the resultant structure of the step 3) in an etching solution to remove the polymer, wherein the etching solution consists of HF, HCl and water, the ratio of HF by volume is 0.2˜1% and the ratio of HCl by volume is 5˜15%.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: December 18, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Yongliang Li
  • Patent number: 8334199
    Abstract: A nitride-based semiconductor light-emitting device 100 includes: a GaN substrate 10 with an m-plane surface 12; a semiconductor multilayer structure 20 provided on the m-plane surface 12 of the GaN substrate 10; and an electrode 30 provided on the semiconductor multilayer structure 20. The electrode 30 includes a Zn layer 32 and an Ag layer 34 provided on the Zn layer 32. The Zn layer 32 is in contact with a surface of a p-type semiconductor region of the semiconductor multilayer structure 20.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: December 18, 2012
    Assignee: Panasonic Corporation
    Inventors: Mitsuaki Oya, Toshiya Yokogawa, Atsushi Yamada, Akihiro Isozaki
  • Publication number: 20120315757
    Abstract: Disclosed is a method of forming wiring. The method includes the steps of: depositing a metal thin film (12) of copper (Cu) on a glass substrate (11) serving as a base; forming an insulating film or a metal insulating film (131) containing no Cu on the metal thin film (12); patterning a photoresist (14) by photolithography on the insulating film (131); etching a liner film (13) by isotropic dry etching using the photoresist (14) as an etching mask; and after the etching of the liner film (13), removing the photoresist (14), and then removing part of the metal thin film (12) by isotropic wet etching using the liner film (13) as an etching mask, thereby forming metal wiring (12a).
    Type: Application
    Filed: February 17, 2011
    Publication date: December 13, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Shinya Ohhira
  • Patent number: 8330234
    Abstract: In a semiconductor device, a gate electrode having a uniform composition prevents deviation in a work function. Controlling a Vth provides excellent operation properties. The semiconductor device includes an NMOS transistor and a PMOS transistor with a common line electrode. The line electrode includes electrode sections (A) and (B) and a diffusion barrier region formed over an isolation region so that (A) and (B) are kept out of contact. The diffusion barrier region meets at least one of: (1) The diffusion coefficient in the above diffusion barrier region of the constituent element of the above electrode section (A) is lower than the interdiffusion coefficient of the constituent element between electrode section (A) materials; and (2) The diffusion coefficient in the above diffusion barrier region of the constituent element of the above electrode section (B) is lower than the interdiffusion coefficient of the constituent element between electrode section (B) materials.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: December 11, 2012
    Assignee: NEC Corporation
    Inventor: Takashi Hase
  • Patent number: 8329576
    Abstract: Methods of improving the uniformity and adhesion of low resistivity tungsten films are provided. Low resistivity tungsten films are formed by exposing the tungsten nucleation layer to a reducing agent in a series of pulses before depositing the tungsten bulk layer. According to various embodiments, the methods involve reducing agent pulses with different flow rates, different pulse times and different interval times.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: December 11, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Lana Hiului Chan, Feng Chen, Karl B. Levy
  • Patent number: 8324098
    Abstract: A via is formed on a wafer to lie within an opening in a non-conductive structure and make an electrical connection with an underlying conductive structure so that the entire top surface of the via is substantially planar, and lies substantially in the same plane as the top surface of the non-conductive structure. The substantially planar top surface of the via enables a carbon nanotube switch to be predictably and reliably closed.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: December 4, 2012
    Assignee: National Semiconductor Corporation
    Inventors: Mehmet Emin Aklik, Thomas James Moutinho
  • Publication number: 20120299186
    Abstract: A semiconductor device can include a substrate and a trace layer positioned in proximity to the substrate and including a trace for supplying an electrical connection to the semiconductor device. Conductive layers can be positioned in proximity to the trace layer and form a bond pad. A non-conductive thin film layer can be positioned between the trace layer and the conductive layers. The thin film layer can include a via to enable the electrical connection from the trace to the bond pad. A portion of the trace between the substrate and the plurality of conductive layers can have a beveled edge.
    Type: Application
    Filed: May 26, 2011
    Publication date: November 29, 2012
    Inventors: Lawrence H. White, Robel Vina, Terry Momahon, James R. Przybyla
  • Patent number: 8318594
    Abstract: A nitride-based semiconductor light-emitting device 100 includes: a GaN substrate 10 with an m-plane surface 12; a semiconductor multilayer structure 20 provided on the m-plane surface 12 of the GaN substrate 10; and an electrode 30 provided on the semiconductor multilayer structure 20. The electrode 30 includes an Mg layer 32 and an Ag layer 34 provided on the Mg layer 32. The Mg layer 32 is in contact with a surface of a p-type semiconductor region of the semiconductor multilayer structure 20.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: November 27, 2012
    Assignee: Panasonic Corporation
    Inventors: Mitsuaki Oya, Toshiya Yokogawa, Atsushi Yamada, Akihiro Isozaki
  • Patent number: 8304335
    Abstract: According to the present invention, there is provided an electrode structure which includes: a nitride semiconductor layer; an electrode provided over the nitride semiconductor layer; and an electrode protective film provided over the electrode, wherein the nitride semiconductor layer contains a metal nitride containing Nb, Hf or Zr as a constitutive element, the electrode has a portion having a metal oxide containing Ti or V as a constitutive element formed therein, and the electrode protective film covers at least a portion of the electrode, and contains a protective layer having Au or Pt as a constitutive element.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: November 6, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Shigeru Koumoto, Tatsuya Sasaki, Kazuhiro Shiba, Masayoshi Sumino
  • Patent number: 8278212
    Abstract: The present invention provides a method for manufacturing a semiconductor memory element including a chalcogenide material layer and an electrode layer, each having an improved adhesion, and a sputtering apparatus thereof. One embodiment of the present invention is the method for manufacturing a semiconductor memory element including: a first step of forming the chalcogenide material layer (113); and a second step of forming a second electrode layer (114b) on the chalcogenide material layer (113) by sputtering through the use of a mixed gas of a reactive gas and an inert gas, while applying a cathode voltage to a target. In the second step, introduction of the reactive gas is carried out at a flow rate ratio included in a hysteresis area (40) appearing in the relationship between a cathode voltage applied to the cathode and the flow rate ratio of the reactive gas in the mixed gas.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: October 2, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Eisaku Watanabe, Tetsuro Ogata, Franck Ernult
  • Patent number: 8278218
    Abstract: An electrical conductor having a multilayer diffusion barrier of use in a resultant semiconductor device is presented. The electrical conductor line includes an insulation layer, a diffusion barrier, and a metal line. The insulation layer is formed on a semiconductor substrate and having a metal line forming region. The diffusion barrier is formed on a surface of the metal line forming region of the insulation layer and has a multi-layered structure made of TaN layer, an MoxOy layer and an Mo layer. The metal line is formed on the diffusion barrier to fill the metal line forming region of the insulation layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: October 2, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Joon Seok Oh, Seung Jin Yeom, Baek Mann Kim, Dong Ha Jung, Jeong Tae Kim, Nam Yeal Lee, Jae Hong Kim
  • Publication number: 20120228773
    Abstract: A layered structure and semiconductor device and methods for fabricating a layered structure and semiconductor device. The layered structure includes: a base layer including a material containing titanium nitride, tantalum nitride, or a combination thereof; a conductive layer including a material containing: tantalum aluminum nitride, titanium aluminum nitride, tantalum silicon nitride, titanium silicon nitride, tantalum hafnium nitride, titanium hafnium nitride, hafnium nitride, hafnium carbide, tantalum carbide, vanadium nitride, niobium nitride, or any combination thereof; and a tungsten layer. The semiconductor device includes: a semiconductor substrate; a base layer; a conductive layer; and a tungsten layer.
    Type: Application
    Filed: March 8, 2011
    Publication date: September 13, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen L. Brown, John Bruley, Cyril Cabral, JR., Sandro Callegari, Martin M. Frank, Michael A. Guillorn, Marinus Hopstaken, Vijay Narayanan, Keith Kwong Hon Wong
  • Patent number: 8236691
    Abstract: A method of plug fill for high aspect ratio plugs wherein a nucleation layer is formed at a bottom of a via and not on the sidewalls. The plug fill is in the direction from bottom to top of the via and not inwards from the sidewalls. The resulting plug is voidless and seamless.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: August 7, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Yakov Shor, Semeon Altshuler, Maor Rotlain, Yigal Alon, Dror Horvitz
  • Patent number: 8232190
    Abstract: Three dimensional vertical e-fuse structures and methods of manufacturing the same are provided herein. The method of forming a fuse structure comprises providing a substrate including an insulator layer and forming an opening in the insulator layer. The method further comprises forming a conductive layer along a sidewall of the opening and filling the opening with an insulator material. The vertical e-fuse structure comprises a first contact layer and a second contact layer. The structure further includes a conductive material lined within a via and in electrical contact with the first contact layer and the second contact layer. The conductive material has an increased resistance as a current is applied thereto.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kerry Bernstein, Timothy J. Dalton, Jeffrey P. Gambino, Mark D. Jaffe, Stephen E. Luce, Anthony K. Stamper
  • Patent number: 8227340
    Abstract: A method for producing an electrically conductive connection between a first surface of a semiconductor substrate and a second surface of the semiconductor substrate includes producing a hole, forming an electrically conductive layer that includes tungsten, removing the electrically conductive layer from the first surface of the semiconductor substrate, filling the hole with copper and thinning the semiconductor substrate. The hole is produced from the first surface of the semiconductor substrate into the semiconductor substrate. The electrically conductive layer is removed from the first surface of the semiconductor substrate, wherein the electrically conductive layer remains at least with reduced thickness in the hole. The semiconductor substrate is thinned starting from a surface, which is an opposite surface of the first surface of the semiconductor substrate, to obtain the second surface of the semiconductor substrate with the hole being uncovered at the second surface of the semiconductor substrate.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: July 24, 2012
    Assignee: Infineon Technologies AG
    Inventors: Uwe Seidel, Thorsten Obernhuber, Albert Birner, Georg Ehrentraut
  • Patent number: 8227333
    Abstract: A structure and a method of manufacturing a Pb-free Controlled Collapse Chip Connection (C4) with a Ball Limiting Metallurgy (BLM) structure for semiconductor chip packaging that reduce chip-level cracking during the Back End of Line (BEOL) processes of chip-join cool-down. An edge of the BLM structure that is subject to tensile stress during chip-join cool down is protected from undercut of a metal seed layer, caused by wet etch of the chip to remove metal layers from the chip's surface and solder reflow, by an electroplated barrier layer, which covers a corresponding edge of the metal seed layer.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: July 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Christopher D. Muzzy, Wolfgang Sauter
  • Patent number: 8222713
    Abstract: A storage element and storage apparatus are provided. A storage element includes a storage layer disposed between two electrodes, and an ion source layer provided in contact with the storage layer and containing any element selected from the group consisting of Cu, Ag, and Zn, wherein the material of the electrode on the storage layer side, of the two electrodes, is composed of an amorphous tungsten alloy containing at least one element selected from the group consisting of Zr, Nb, Mo, and Ta, or an amorphous tantalum nitride. The storage element is capable of stably performing an information recording operation.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: July 17, 2012
    Assignee: Sony Corporation
    Inventors: Akira Kouchiyama, Katsuhisa Aratani
  • Patent number: 8216935
    Abstract: A method of forming a transistor gate construction includes forming a gate stack comprising a sacrificial material received over conductive gate material. The gate stack has lateral sidewalls having insulative material received there-against. The sacrificial material is removed from being received over the conductive gate material to form a void space between the insulative material over the conductive gate material. Elemental tungsten is selectively deposited within the void space over the conductive gate material and a transistor gate construction forming there-from is formed there-from, and which has a conductive gate electrode which includes the conductive gate material and the elemental tungsten. The transistor gate might be used in NAND, DRAM, or other integrated circuitry.
    Type: Grant
    Filed: April 7, 2009
    Date of Patent: July 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Eric R. Blomiley, Allen McTeer
  • Patent number: 8216377
    Abstract: A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: July 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Cem Basceri, Donald L. Westmoreland
  • Patent number: 8207062
    Abstract: Methods of improving the adhesion of low resistivity tungsten/tungsten nitride layers are provided. Low resistivity tungsten/tungsten nitride layers with good adhesion are formed by treating a tungsten or tungsten nitride layer before depositing low resistivity tungsten. Treatments include a plasma treatment and a temperature treatment. According to various embodiments, the treatment methods involve different gaseous atmospheres and plasma conditions.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: June 26, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Juwen Gao, Wei Lei, Michal Danek, Erich Klawuhn, Sean Chang, Ron Powell
  • Publication number: 20120146223
    Abstract: A manufacturing method of a MOS device with memory function is provided, which includes: providing a semiconductor substrate, a surface of the semiconductor substrate being covered by a first dielectric layer, a metal interconnect structure being formed in the first dielectric layer; forming a second dielectric layer overlying a surface of the first dielectric layer and the metal interconnect structure; forming an opening in the second dielectric layer, a bottom of the opening revealing the metal interconnect structure; forming an alloy layer at the bottom of the opening, material of the alloy layer containing copper and other metal; and performing a thermal treatment to the alloy layer and the metal interconnect structure to form, on the surface of the metal interconnect structure, a compound layer containing oxygen element. The compound layer containing oxygen element and the MOS device formed in the semiconductor substrate constitute a MOS device with memory function.
    Type: Application
    Filed: January 27, 2011
    Publication date: June 14, 2012
    Inventors: Chao Zhao, Wenwu Wang
  • Publication number: 20120149158
    Abstract: A method of flattening a substrate includes forming a metal layer on an upper surface of a substrate, forming a photoresist layer covering the substrate and the metal layer, radiating light to the photoresist layer, through a lower surface of the substrate opposite to the upper surface, exposing the metal layer by developing the photoresist layer, exposing the upper surface of the substrate by etching the metal layer, etching the exposed upper surface of the substrate, and removing the photoresist layer.
    Type: Application
    Filed: March 29, 2011
    Publication date: June 14, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Pil Soon HONG, Gwui-Hyun PARK, Sang Gab KIM
  • Publication number: 20120146237
    Abstract: A semiconductor device and a method for manufacturing the same are disclosed. When forming a profile of the lower electrode, a second lower electrode hole (i.e., a bunker region) located at the lowermost part of the lower electrode is buried with an Ultra Low Temperature Oxide (ULTO) material without damaging the lower electrode material. As a result, when a dielectric film is deposited in a subsequent process, the above-mentioned semiconductor device prevents the occurrence of a capacitor leakage current caused by defective gapfilling of the dielectric film located at the lowermost part of the lower electrode.
    Type: Application
    Filed: October 21, 2011
    Publication date: June 14, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Hyeong Uk YUN
  • Patent number: 8193090
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case (particularly in the latter), capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive material (e.g.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: June 5, 2012
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 8178446
    Abstract: A method for forming a strained metal nitride film and a semiconductor device containing the strained metal nitride film. The method includes exposing a substrate to a gas containing a metal precursor, exposing the substrate to a gas containing a first nitrogen precursor configured to react with the metal precursor with a first reactivity characteristic, and exposing the substrate to a gas pulse containing a second nitrogen precursor configured to react with the metal precursor with a second reactivity characteristic different than the first reactivity characteristic such that a property of the metal nitride film formed on the substrate changes to provide a strained metal nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 15, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Publication number: 20120115324
    Abstract: A semiconductor device and a method for manufacturing the same of the present invention in which the semiconductor device is provided with a fuse structure or an electrode pad structure, suppress the copper blowing-out from a copper containing metal film. The semiconductor device comprises a silicon substrate, SiO2film provided on the silicon substrate, copper films embedded in the SiO2 film, TiN films covering an upper face of a boundary region between an upper face of copper films and the copper films, and the SiO2 film, and SiON films covering an upper face of the TiN films.
    Type: Application
    Filed: January 26, 2011
    Publication date: May 10, 2012
    Applicant: RENESAS ELECTRONICS CORPORATION
    Inventors: Toshiyuki TAKEWAKI, Mari WATANABE
  • Publication number: 20120115325
    Abstract: Systems, methods, and apparatus for depositing a tantalum layer on a wafer substrate are disclosed. In one aspect, a tantalum layer may be deposited on a surface of a wafer substrate using an ion-induced atomic layer deposition process with a tantalum precursor. A copper layer may be deposited on the tantalum layer.
    Type: Application
    Filed: September 23, 2011
    Publication date: May 10, 2012
    Inventors: Kie Jin PARK, Jeong Seok NA, Victor LU
  • Patent number: 8168539
    Abstract: A tungsten film with a lower specific resistance and a lower fluorine concentration over its boundary with the base barrier layer, which adheres to the barrier layer with a high level of reliability, compared to tungsten films formed through methods in the related art, is formed. The tungsten film is formed through a process in which a silicon-containing gas is delivered to a wafer M placed within a processing container 14 and a process executed after the silicon-containing gas supply process, in which a first tungsten film 70 is formed by alternately executing multiple times, a tungsten-containing gas supply step for supplying a tungsten-containing gas and a hydrogen compound gas supply step for supplying a hydrogen compound gas with no silicon content with a purge step in which an inert gas is supplied into the processing container and/or an evacuation step for evacuating the processing container executed between the tungsten-containing gas supply step and the hydrogen compound gas supply step.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masahito Sugiura, Yasutaka Mizoguchi, Yasushi Aiba
  • Publication number: 20120100713
    Abstract: A semiconductor device and a method for forming the same are disclosed. In the method for manufacturing the semiconductor device, a lower electrode material is deposited over a semiconductor substrate including a lower electrode contact plug so as to form a sacrificial insulation film. After the sacrificial insulation film and a lower electrode material are etched using a dry etching process, additional lower electrode material is deposited and etched back so as to form a lower electrode. As a result, a margin or region between a lower electrode contact plug and the lower electrode can be guaranteed.
    Type: Application
    Filed: September 20, 2011
    Publication date: April 26, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Sung Soo KIM
  • Patent number: 8153520
    Abstract: Methods of processing partially manufactured semiconductor substrates with one or more through silicon vias to partially remove a tungsten layer formed on the field region during filling the through silicon vias are provided. In certain embodiments, the methods produce substrates with reduced bowing than the bowing present after through silicon vias filling. Substrates with reduced bowing are easier to handle and may expedite subsequent processes.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: April 10, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Anand Chandrashekar, Raashina Humayun, Michal Danek
  • Patent number: 8148822
    Abstract: A bonding pad structure is fabricated on an integrated circuit (IC) substrate having at least a contact layer on its top surface. A passivation layer covers the top surface of the IC substrate and the contact layer. The passivation layer has an opening exposing a portion of the contact layer. An electrically conductive adhesion/barrier layer directly is bonded to the contact layer. The electrically conductive adhesion/barrier layer extends to a top surface of the passivation layer. A bonding metal layer is stacked on the electrically conductive adhesion/barrier layer.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: April 3, 2012
    Assignee: Megica Corporation
    Inventors: Mou-Shiung Lin, Hsin-Jung Lo, Chiu-Ming Chou, Chien-Kang Chou, Ke-Hung Chen