Having Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/656)
  • Patent number: 7514360
    Abstract: This invention relates to a semiconductor device making use of a highly thermal robust metal electrode as gate material. In particular, the development of Hafnium Nitride as a metal gate electrode (or a part of the metal gate stack) is taught and its manufacturing steps of fabrication with different embodiments are shown.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: April 7, 2009
    Inventors: Hong Yu Yu, Ming-Fu Li, Dim-Lee Kwong, Lakshmi Kanta Bera
  • Patent number: 7510967
    Abstract: The present invention relates to a method for manufacturing a semiconductor device, comprising: forming a metal interconnect on a substrate; forming a refractory metal layer containing titanium (Ti) or tantalum (Ta) on a surface of the metal interconnect; forming an insulating interlayer so as to cover the refractory metal layer; selectively etching the insulating interlayer with an etchant gas containing an organic fluoride to form a hole, in which the refractory metal layer is exposed; treating an interior of the hole with an organic chemical solution to remove fluorinated compounds of Ti or Ta while leaving fluorocarbons on the surface of the refractory metal layer, the fluorinated compounds of Ti or Ta and the fluorocarbons being created during the etching step and present in the interior of the hole; and performing plasma-treatment for the interior of said hole to remove the fluorocarbon.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: March 31, 2009
    Assignee: NEC Electronics Corporation
    Inventor: Kousei Ushijima
  • Patent number: 7510956
    Abstract: Methods and apparatus are provided for semiconductor devices. The apparatus comprises a substrate having therein a source region and a drain region separated by a channel region extending to a first surface of the substrate, and a multilayered gate structure located above the channel region. The gate structure comprises, a gate dielectric, preferably of an oxide of Hf, Zr or HfZr substantially in contact with the channel region, a first conductor layer of, for example an oxide of MoSi overlying the gate dielectric, a second conductor layer of, e.g., poly-Si, overlying the first conductor layer and adapted to apply an electrical field to the channel region, and an impurity migration inhibiting layer (e.g., MoSi) located above or below the first conductor layer and adapted to inhibit migration of a mobile impurity, such as oxygen for example, toward the substrate.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: March 31, 2009
    Assignee: Fressscale Semiconductor, Inc.
    Inventors: Chun-Li Liu, Marius K. Orlowski, Matthew W. Stoker
  • Publication number: 20090068836
    Abstract: The present invention relates to a method of forming contact plugs of a semiconductor device. According to the method, a first insulating layer is formed over a semiconductor substrate in which a cell region and a peri region are defined and a first contact plug is formed in the peri region. The first insulating layer is etched using an etch process, thus forming contact holes through which junctions are exposed in the cell region and the first contact plug is exposed in the peri region. Second contact plugs are formed in the contact holes. The second contact plug formed within the contact hole of the peri region are removed using an etch process. A spacer is formed on sidewalls of the contact holes. Third contact plugs are formed within the contact holes.
    Type: Application
    Filed: June 27, 2008
    Publication date: March 12, 2009
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jae Heon Kim
  • Publication number: 20090068835
    Abstract: A method of forming a high aspect ratio via opening through multiple dielectric layers, a high aspect ratio electrically conductive via, methods of forming three-dimension integrated circuits, and three-dimensional integrated circuits. The methods include forming a stack of at least four dielectric layers and etching the first and third dielectric layers with processes selective to the second and fourth dielectric layers, etching the second and third dielectric layers with processes selective to the first and second dielectric layers. Advantageously the process used to etch the third dielectric layer is not substantially selective to the first dielectric layer.
    Type: Application
    Filed: September 11, 2007
    Publication date: March 12, 2009
    Inventors: Douglas C. La Tulipe, JR., Mark Todhunter Robson
  • Patent number: 7501343
    Abstract: In one embodiment, a method for depositing a boride-containing barrier layer on a substrate is provided which includes exposing the substrate sequentially to a boron-containing compound and a metal precursor to form a first boride-containing layer during a first sequential chemisorption process and exposing the substrate to the boron-containing compound, the metal precursor, and a second precursor to form a second boride-containing layer on the first boride-containing layer during a second sequential chemisorption process. In one example, the metal precursor contains tungsten hexafluoride and the boron-containing compound contains diborane. In another embodiment, a contact layer is deposited over the second boride-containing layer. The contact layer may contain tungsten and be deposited by a chemical vapor deposition process. Alternatively, the contact layer may contain copper and be deposited by a physical vapor deposition process.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: March 10, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Jeong Soo Byun, Alfred Mak
  • Patent number: 7501344
    Abstract: In one embodiment, a method for depositing a boride-containing barrier layer on a substrate is provided which includes exposing the substrate sequentially to a boron-containing compound and a tungsten precursor to form a first boride-containing layer during a first sequential chemisorption process, and exposing the substrate to the boron-containing compound, the tungsten precursor, and ammonia to form a second boride-containing layer over the first boride-containing layer during a second sequential chemisorption process. In one example, the tungsten precursor contains tungsten hexafluoride and the boron-containing compound contains diborane. In another embodiment, a contact layer is deposited over the second boride-containing layer. The contact layer may contain tungsten and be deposited by a chemical vapor deposition process. Alternatively, the contact layer may contain copper and be deposited by a physical vapor deposition process.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: March 10, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Jeong Soo Byun, Alfred Mak
  • Publication number: 20090061622
    Abstract: In a method for manufacturing a semiconductor device, a conductive layer is formed on a semiconductor substrate. A surface of the conductive layer is then treated by plasma. After the conductive layer is treated, an amorphous carbon layer for a hard mask is formed on the surface of the conductive layer that has been treated by the plasma.
    Type: Application
    Filed: March 10, 2008
    Publication date: March 5, 2009
    Inventors: Sang Tae AHN, Ja Chun KU, Eun Jeong KIM
  • Patent number: 7494859
    Abstract: A semiconductor device comprising a semiconductor substrate having a first impurity region and a second impurity region, a first gate pattern formed on the first impurity region, and a second gate pattern formed on the second impurity region is disclosed. The first gate pattern comprises a first gate insulation layer pattern, a metal layer pattern having a first thickness, and a first polysilicon layer pattern. The second gate pattern comprises a second gate insulation layer pattern, a metal silicide layer pattern having a second thickness smaller than the first thickness, and a second polysilicon layer pattern. The metal silicide layer pattern is formed from a material substantially the same as the material from which the metal layer pattern is formed. A method for manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: April 10, 2006
    Date of Patent: February 24, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hag-Ju Cho, Taek-Soo Jeon, Hye-Lan Lee, Sang-Bom Kang, Yu-Gyun Shin
  • Patent number: 7494908
    Abstract: A system for processing a substrate is provided which includes at least one atomic layer deposition (ALD) chamber for depositing a barrier layer containing tantalum and at least one physical vapor deposition (PVD) metal seed chamber for depositing a metal seed layer on the barrier layer. The at least one ALD chamber may be in fluid communication with a first precursor source providing a tantalum-containing compound and a second precursor source. In one example, the tantalum-containing compound is an organometallic tantalum precursor, such as PDMAT. In another example, the second precursor source contains a nitrogen precursor, such as ammonia. The PDMAT may have a chlorine concentration of about 100 ppm or less, preferably, about 30 ppm or less, and more preferably, about 5 ppm or less. In some examples, the PVD metal seed chamber is used to deposit a copper-containing metal seed layer.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: February 24, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Ling Chen, Jick Yu, Mei Chang
  • Patent number: 7479682
    Abstract: A field effect transistor having metallic silicide layers is formed in a semiconductor layer on an insulating layer of an SOI substrate. The metallic silicide layers are composed of refractory metal and silicon. The metallic silicide layers extend to bottom surfaces of source and drain regions. A ratio of the metal to the silicon in the metallic silicide layers is X to Y. A ratio of the metal to the silicon of metallic silicide having the lowest resistance among stoichiometric metallic silicides is X0 to Y0. X, Y, X0 and Y0 satisfy the following inequality: (X/Y)>(X0/Y0).
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: January 20, 2009
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Norio Hirashita, Takashi Ichimori
  • Patent number: 7479451
    Abstract: The present invention prevents the diffusion of an aluminum element into a polysilicon layer in a heating step when an aluminum-based conductive layer is used in a source/drain electrode which is in contact with low-temperature polysilicon whereby the occurrence of defective display can be obviated. An aluminum-based conductive layer is used in a source/drain electrode and a barrier layer made of molybdenum or a molybdenum alloy layer is formed between the aluminum-based conductive layer and a polysilicon layer. Further, a molybdenum oxide nitride film formed by the rapid heat treatment (rapid heat annealing) in a nitrogen atmosphere is formed over a surface of the molybdenum or the molybdenum alloy which constitutes the barrier layer.
    Type: Grant
    Filed: July 14, 2005
    Date of Patent: January 20, 2009
    Assignee: Hitachi Displays, Ltd.
    Inventors: Yuichi Harano, Jun Gotoh, Toshiki Kaneko, Masanao Yamamoto
  • Publication number: 20090017616
    Abstract: A method of forming a method a conductive wire. The method includes forming a dielectric hardmask layer on a dielectric layer; forming an electrically conductive hardmask layer on the dielectric hardmask layer; forming a trench extending through the conductive and dielectric hardmask layers into the dielectric layer; depositing a liner/seed layer on the conductive hardmask layer and the sidewalls and bottom of the trench; filling the trench with a fill material; removing the liner/seed layer from the top surface of the conductive hardmask layer; removing the fill material from the trench; electroplating a metal layer onto exposed surfaces of the conductive hardmask layer and liner/seed layer; and removing the metal layer and the conductive hardmask layer from the dielectric hardmask layer so the metal layer and edges of the liner/seed layer are coplanar with the top surface of the dielectric hardmask layer.
    Type: Application
    Filed: July 10, 2007
    Publication date: January 15, 2009
    Inventors: Stephan Grunow, Kaushik A. Kumar, Kevin Shawn Petrarca, Richard Paul Volant
  • Publication number: 20080315173
    Abstract: An integrated circuit includes a contact and a first electrode coupled to the contact. The first electrode includes at least two electrode material layers. The at least two electrode material layers include different materials. The integrated circuit includes a second electrode and a resistivity changing material between the first electrode and the second electrode.
    Type: Application
    Filed: June 22, 2007
    Publication date: December 25, 2008
    Inventors: Jan Boris Philipp, Thomas Happ
  • Patent number: 7468319
    Abstract: The present invention relates to a method for preventing a metal corrosion in a semiconductor device. The present method includes the steps of etching of a metal layer in a chamber, the metal layer having a photoresist pattern thereon or thereover; oxidizing a surface of the metal layer using a plasma comprising N2O in the same chamber; and removing the photoresist. Therefore, metal corrosion as well as bridges between metal wirings can be suppressed or prevented, thereby improving the profile of metal layer and the reliability and yield of the semiconductor device.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: December 23, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Suk Lee
  • Patent number: 7468320
    Abstract: The idea of the invention is to coat the free surface of patterned Cu conducting lines in on-chip interconnections (BEOL) wiring by a 1-20 nm thick metal layer prior to deposition of the interlevel dielectric. This coating is sufficiently thin so as to obviate the need for additional planarization by polishing, while providing protection against oxidation and surface, or interface, diffusion of Cu which has been identified by the inventors as the leading contributor to metal line failure by electromigration and thermal stress voiding. Also, the metal layer increases the adhesion strength between the Cu and dielectric so as to further increase lifetime and facilitate process yield. The free surface is a direct result of the CMP (chemical mechanical polishing) in a damascene process or in a dry etching process by which Cu wiring is patterned. It is proposed that the metal capping layer be deposited by a selective process onto the Cu to minimize further processing.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: December 23, 2008
    Assignee: International Business Machines Corporation
    Inventors: Chao-Kun Hu, Robert Rosenberg, Judith M. Rubino, Carlos J. Sambucetti, Anthony K. Stamper
  • Publication number: 20080311741
    Abstract: A substrate provided thereon with an electrical insulating film which carries holes or the like filled with a Cu-containing electrical interconnection film is subjected to a pre-treatment in which the surface of the electrical insulating film and that of the Cu-containing electrical interconnection film are treated at a temperature of not more than 300° C. using, in a predetermined state, a gas of a compound containing an atom selected from the group consisting of N, H and Si atoms within the chemical formula thereof, before selectively forming a W-capping film on the electrical interconnection film. After the completion of the pre-treatment, a W-capping film is selectively formed on the electrical interconnection film and then an upper Cu electrical interconnection is further formed.
    Type: Application
    Filed: March 13, 2006
    Publication date: December 18, 2008
    Inventors: Narishi Gonohe, Masamichi Harada, Nobuyuki Kato
  • Publication number: 20080308156
    Abstract: A photovoltaic device including a rear electrode which may also function as a rear reflector. In certain example embodiments, the rear electrode comprises a reflective film (e.g., of Mo or the like) including one or more layers provided on an interior surface of a rear glass substrate of the photovoltaic device. In certain example embodiments, the interior surface(s) of the rear glass substrate and/or reflective film is/are textured so as to provide desirable electrical and reflective characteristics. The rear glass substrate and textured rear electrode/reflector are used in a photovoltaic device (e.g., CIS or CIGS solar cell) where an active semiconductor film is provided between the rear electrode/reflector and a front electrode(s).
    Type: Application
    Filed: June 12, 2007
    Publication date: December 18, 2008
    Applicant: Guardian Industries Corp.
    Inventor: Leonard L. Boyer, JR.
  • Patent number: 7465660
    Abstract: A silicide having variable internal metal concentration tuned to surface conditions at the interface between the silicide and adjoining layers is employed within an integrated circuit. Higher silicon/metal (silicon-rich) ratios are employed near the interfaces to adjoining layers to reduce lattice mismatch with underlying polysilicon or overlying oxide, thereby reducing stress and the likelihood of delamination. A lower silicon/metal ratio is employed within an internal region of the silicide, reducing resistivity. The variable silicon/metal ratio is achieved by controlling reactant gas concentrations or flow rates during deposition of the silicide. Thinner silicides with less likelihood of delamination or metal oxidation may thus be formed.
    Type: Grant
    Filed: April 28, 2003
    Date of Patent: December 16, 2008
    Assignee: STMicroelectronics, Inc.
    Inventors: Fuchao Wang, Ming Fang
  • Patent number: 7462559
    Abstract: A method of forming (and an apparatus for forming) a metal containing layer on a substrate, particularly a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor or memory device structure, using one or more homoleptic and/or heteroleptic precursor compounds that include, for example, guanidinate, phosphoguanidinate, isoureate, thioisoureate, and/or selenoisoureate ligands using a vapor deposition process is provided.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: December 9, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Publication number: 20080293242
    Abstract: A method and structure for a single or dual damascene interconnect structure comprises forming wiring lines in a metallization layer over a substrate, shaping a laminated insulator stack above the metallization layer, patterning a hardmask over the laminated insulator stack, forming troughs in the hardmask, patterning the laminated insulator stack, forming vias in the patterned laminated insulator stack, creating sidewall spacers in the bottom portion of the vias, depositing an anti-reflective coating on the sidewall spacers, etching the troughs, removing the anti-reflective coating, depositing a metal layer in the troughs, vias, and sidewall spacers, and applying conductive material in the troughs and the vias. The laminated insulator stack comprises a dielectric layer further comprising oxide and polyarylene.
    Type: Application
    Filed: April 4, 2008
    Publication date: November 27, 2008
    Applicant: International Business Machiness Corporation
    Inventors: Edward C. Cooney, III, Robert M. Geffken, Anthony K. Stamper
  • Patent number: 7452811
    Abstract: In a method for forming a wiring of a semiconductor device using an atomic layer deposition, an insulating interlayer is formed on a substrate. Tantalum amine derivatives represented by a chemical formula Ta(NR1)(NR2R3)3 in which R1, R2 and R3 represent H or C1-C6 alkyl group are introduced onto the insulating interlayer. A portion of the tantalum amine derivatives is chemisorbed on the insulating interlayer. The rest of tantalum amine derivatives non-chemisorbed on the insulating interlayer is removed from the insulating interlayer. A reacting gas is introduced onto the insulating interlayer. A ligand in the tantalum amine derivatives chemisorbed on the insulating interlayer is removed from the tantalum amine derivatives by a chemical reaction between the reacting gas and the ligand to form a solid material including tantalum nitride. The solid material is accumulated on the insulating interlayer through repeating the above processes to form a wiring.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-In Choi, Sang-Bom Kang, Seong-Geon Park, You-Kyoung Lee, Gil-Heyun Choi, Jong-Myeong Lee, Sang-Woo Lee
  • Patent number: 7452807
    Abstract: Example embodiments of the present invention relate to a method of forming a metal wiring in a semiconductor device. Other example embodiments of the present invention relate to a method of forming a metal wiring in a semiconductor device without a generation of a bridge between adjacent metal wirings. In a method of forming a metal wiring in a semiconductor device, at least one metal layer and at least one barrier layer may be sequentially formed on a substrate. A metal blocking layer may be formed on the at least one barrier metal layer. A hard mask layer may be formed on the metal blocking layer. A hard mask pattern may be formed on the metal blocking layer by etching the hard mask layer without an exposure of the at least one barrier metal layer. A metal blocking layer pattern may be formed on the at least one barrier metal layer by etching the metal blocking layer using the hard mask pattern as an etching mask.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: November 18, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Woo Lee, Jae-Seung Hwang, Dae-Hyun Jang
  • Patent number: 7446032
    Abstract: A process for enhancing the adhesion of directly plateable materials to an underlying dielectric is demonstrated, so as to withstand damascene processing. Using diffusion barriers onto which copper can be deposited facilitates conventional electrolytic processing. An ultra-thin adhesion layer is applied to a degassed, pre-cleaned substrate. The degassed and pre-cleaned substrate is exposed to a precursor gas containing the adhesion layer, optionally deposited by a plasma-assisted CVD process, resulting in the deposition of an adhesion layer inside the exposed feature. The treated wafer is then coated with a diffusion barrier material, such as ruthenium, so that the adhesion layer reacts with incoming diffusion barrier atoms. The adhesion layer may be selectively bias-sputter etched prior to the deposition of the diffusion barrier layer. A copper layer is then deposited on the diffusion barrier layer.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: November 4, 2008
    Assignee: Novellus Systems, Inc.
    Inventor: Sridhar K Kailasam
  • Patent number: 7446034
    Abstract: An exemplary method includes: providing a substrate with an exposed metal surface, performing a reducing process on the metal surface, and transferring the substrate in an inert or reducing ambient to a chamber for that is used for metal layer deposition.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: November 4, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Hsueh Shih, Chen Hua Yu
  • Patent number: 7435670
    Abstract: The present invention relates to a bit line barrier metal layer for a semiconductor device and a process for preparing the same, the process comprising: forming bit line contact on an insulation layer vapor-deposited on an upper part of a substrate so as to expose an ion implantation region; vapor-depositing a first barrier metal layer of a Ti film on the entire upper surface thereof; and vapor-depositing, on the upper part of the Ti film, a second barrier metal layer of a ZrB2 film having different upper and lower Boron concentrations, by RPECVD controlling the presence/absence of H2 plasma, wherein the barrier metal layer includes the Ti film, lower ZrB2 film and upper a ZrB2 film sequentially stacked between tungsten bit lines and ion implantation region of a semiconductor substrate.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: October 14, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Eun
  • Publication number: 20080227291
    Abstract: Embodiments of the invention provide methods for depositing tungsten materials. In one embodiment, a method for forming a composite tungsten film is provided which includes positioning a substrate within a process chamber, forming a tungsten nucleation layer on the substrate by subsequently exposing the substrate to a tungsten precursor and a reducing gas containing hydrogen during a cyclic deposition process, and forming a tungsten bulk layer during a plasma-enhanced chemical vapor deposition (PE-CVD) process. The PE-CVD process includes exposing the substrate to a deposition gas containing the tungsten precursor while depositing the tungsten bulk layer over the tungsten nucleation layer. In some example, the tungsten nucleation layer has a thickness of less than about 100 ?, such as about 15 ?. In other examples, a carrier gas containing hydrogen is constantly flowed into the process chamber during the cyclic deposition process.
    Type: Application
    Filed: May 28, 2008
    Publication date: September 18, 2008
    Inventors: KEN K. LAI, Jeong Soo Byun, Frederick C. Wu, Ramanujapuran A. Srinivas, Avgerinos Gelatos, Mei Chang, Moris Kori, Ashok K. Sinha, Hua Chung, Hongbin Fang, Alfred W. Mak, Michael X. Yang, Ming Xi
  • Patent number: 7422979
    Abstract: A diffusion barrier stack is formed by forming a layer comprising a metal over a conductor that includes copper; and forming a first dielectric layer over the layer, wherein the dielectric layer is of a thickness that alone it can not serve as a diffusion barrier layer to the conductor and the first dielectric layer prevents oxidation of the layer. In one embodiment, the diffusion barrier stack includes two layers; the first layer is a conductive layer and the second layer is a dielectric layer. The diffusion barrier stack minimizes electromigration and copper diffusion from the conductor.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: September 9, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Lynne M. Michaelson, Edward Acosta, Ritwik Chatterjee, Stanley M. Filipiak, Sam S. Garcia, Varughese Mathew
  • Patent number: 7416932
    Abstract: A high-reliability power composite integrated semiconductor device uses thick copper electrodes as current collecting electrodes of a power device portion to resist wire resistance needed for reducing ON-resistance. Furthermore, wire bonding connection of the copper electrodes is secured, and also the time-lapse degradation under high temperature which causes diffusion of copper and corrosion of copper is suppressed. Still furthermore, direct bonding connection can be established to current collecting electrodes in the power device portion, and also established to a bonding pad formed on the control circuit portion in the control circuit portion. A pad area at the device peripheral portion which has been hitherto needed is reduced, so that the area of the device is saved, and the manufacturing cost is reduced.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: August 26, 2008
    Assignee: DENSO CORPORATION
    Inventor: Hiroyasu Itou
  • Patent number: 7410900
    Abstract: This invention relates to photosensitive organometallic compounds which are used in the production of metal deposits. In particular, this invention relates to photosensitive organometallic compounds such as bis-(perfluoropropyl)-1,5-cyclooctadiene platinum (II) (i.e. (C3F7)2PtC8H12) which on exposure to UV radiation and then a reduction process forms a platinum metal deposit such as a substantially continuous thin ‘sheet-like’ film or a substantially narrow line which is capable of electrical conduction.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: August 12, 2008
    Assignee: Ceimig
    Inventor: James Thomson
  • Patent number: 7393781
    Abstract: A multilayer metal cap over a metal-filled interconnect feature in a dielectric layer for incorporation into a multilayer integrated circuit device, and a method for forming the cap.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: July 1, 2008
    Assignee: Enthone Inc.
    Inventors: Eric Yakobson, Richard Hurtubise, Christian Witt, Qingyun Chen
  • Publication number: 20080150146
    Abstract: A semiconductor device such as a CMOS image sensor and a method of fabricating the same, in which a stable alignment mark is formed. The semiconductor device includes an isolation layer formed in a scribe lane region of a semiconductor substrate and having a groove, an insulating layer having a hole through which the groove is exposed and formed on the semiconductor substrate, and a metal layer formed on the groove and the hole. The groove is formed in the isolation layer and is used as an alignment mark formation region. Thus, although the thickness of an interlayer insulating layer is not thick, it can be compensated for by the groove formed in the isolation layer.
    Type: Application
    Filed: December 14, 2007
    Publication date: June 26, 2008
    Inventor: Yong-Suk Lee
  • Publication number: 20080146028
    Abstract: The present method of forming an electronic structure includes providing a tantalum base layer and depositing a layer of copper on the tantalum layer, the deposition being undertaken by physical vapor deposition with the temperature of the base layer at 50° C. or less, with the deposition taking place at a power level of 300 W or less.
    Type: Application
    Filed: December 19, 2006
    Publication date: June 19, 2008
    Inventors: Wen Yu, Stephen B. Robie, Jeremias D. Romero
  • Publication number: 20080132063
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming a refractory metal alloy layer over a silicon-containing conductive layer. The refractory metal alloy layer is constituted of a first refractory metal and a second refractory metal. Thereafter, a cap layer is formed on the refractory metal alloy layer. A thermal process is performed so that the refractory metal alloy layer reacts with silicon of the silicon-containing conductive layer to form a refractory metal alloy salicide layer. Afterwards, an etch process with an etch solution is performed to removes the cap layer and the refractory metal alloy layer which has not been reacted and to form a protection layer on the refractory metal alloy salicide layer.
    Type: Application
    Filed: January 21, 2008
    Publication date: June 5, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Yiing Chiang, Yi-Wei Chen, Tzung-Yu Hung
  • Publication number: 20080123246
    Abstract: A semiconductor device having a metal/insulator/metal (MIM) structure and a method for fabricating the same are provided. The semiconductor device includes a lower structure layer including a metal wiring; and an MIM stack on the lower structure layer; wherein the MIM stack includes a lower metal electrode film formed on a substrate including the lower structure layer, a multi-layer dielectric film including a first insulating film and a second insulating film formed on the lower metal electrode film, and an upper metal electrode film formed on the multi-layer dielectric film.
    Type: Application
    Filed: October 26, 2007
    Publication date: May 29, 2008
    Inventor: Jeong Su PARK
  • Patent number: 7378339
    Abstract: A method for forming a semiconductor device includes providing a first integrated circuit having a landing pad and attaching a second integrated circuit to the first integrated circuit using at least one bonding layer. The second integrated circuit has an inter-circuit trace, the inter-circuit trace has an inter-circuit trace opening. The method further includes forming an opening through the second integrated circuit, the opening extending through the inter-circuit trace opening, forming a selective barrier on exposed portions of the inter-circuit trace in the opening, extending the opening through the at least one bonding layer to the landing pad, and filling the opening with a conductive fill material. The selective barrier layer comprises at least one of cobalt or nickel, and the conductive fill material electrically connects the inter-circuit trace and the landing pad.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: May 27, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Scott K. Pozder, Lynne M. Michaelson, Varughese Mathew
  • Patent number: 7371667
    Abstract: There are disclosed TFTs that have excellent characteristics and can be fabricated with a high yield. The TFTs are fabricated, using an active layer crystallized by making use of nickel. Gate electrodes are comprising tantalum. Phosphorus is introduced into source/drain regions. Then, a heat treatment is performed to getter nickel element in the active layer and to drive it into the source/drain regions. At the same time, the source/drain regions can be annealed out. The rate electrodes of tantalum can withstand this heat treatment.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: May 13, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7371681
    Abstract: An electrode on a semiconductor substrate includes a polysilicon layer, a silicon-implanted layer on the polysilicon layer, a tungsten nitride layer on the silicon-implanted layer, a tungsten nitride layer on the silicon-implanted layer, and a tungsten layer on the tungsten nitride layer. The layer between the polysilicon layer and the tungsten nitride layer may be either a tungsten silicon nitride layer or a silicon-germanium layer.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: May 13, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Kazuyoshi Maekawa
  • Patent number: 7371668
    Abstract: A method for making a MOS device includes: forming an insulator layer on a semiconductor substrate, the insulator layer including a titanium dioxide film that has a surface with hydroxyl groups formed thereon; and forming an aluminum cap film on the surface of the titanium dioxide film, and conducting annealing operation of the aluminum cap film at an annealing temperature sufficient to permit formation of active hydrogen atoms through reaction of the aluminum cap film and the hydroxyl groups, thereby enabling hydrogen passivation of oxide traps in the titanium dioxide film through diffusion of the active hydrogen atoms into the titanium dioxide film.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: May 13, 2008
    Assignee: National Sun Yat-Sen University
    Inventors: Ming-Kwei Lee, Jung-Jie Huang, Yu-Hsiang Hung
  • Patent number: 7358143
    Abstract: In an n-channel type power MISFET, a source electrode in contact with an n+-semiconductor region (source region) and a p+-semiconductor region (back gate contact region) is constituted with an Al film and an underlying barrier film comprised of MoSi2, use of the material having higher barrier height relation to n-Si for the barrier film increasing the contact resistance to n-Si and backwardly biasing the emitter and base of a parasitic bipolar transistor making it less tending to turn-on, thereby decreasing the leak current of power MISFET.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: April 15, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Tomoaki Uno, Yoshito Nakazawa
  • Patent number: 7354853
    Abstract: The invention describes a method for the selective dry etching of tantalum and tantalum nitride films. Tantalum nitride layers (30) are often used in semiconductor manufacturing. The semiconductor substrate is exposed to a reducing plasma chemistry which passivates any exposed copper (40). The tantalum or tantalum nitride films are selectively removed using an oxidizing plasma chemistry.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: April 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Mona M. Eissa, Troy A. Yocum
  • Patent number: 7344978
    Abstract: A semiconductor device including at least one conductive structure is provided. The conductive structure includes a silicon-containing conductive layer, a refractory metal salicide layer and a protection layer. The refractory metal salicide layer is disposed over the silicon-containing conductive layer. The protection layer is disposed over the refractory metal salicide layer. Another semiconductor device including at least one conductive structure is also provided. The conductive structure includes a silicon-containing conductive layer, a refractory metal alloy salicide layer and a protection layer. The refractory metal alloy salicide layer is disposed over the silicon-containing conductive layer. The refractory metal alloy salicide layer is formed from a reaction of silicon of the silicon-containing conductive layer and a refractory metal alloy layer which includes a first refractory metal and a second refractory metal. The protection layer is disposed over the refractory metal alloy salicide layer.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: March 18, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Lan Chang, Chao-Ching Hsieh, Yi-Yiing Chiang, Yi-Wei Chen, Tzung-Yu Hung
  • Publication number: 20080054475
    Abstract: In embodiments, when forming a metal line of the semiconductor device, a developer having an amine group may coated on the metal line layer such that the amine group remains on a surface of the metal line layer. Further, a method of fabricating a semiconductor device may include forming a metal line layer for interlayer connection of the semiconductor device, performing a first photo process by coating a first photoresist on the metal line layer, after performing the first photo process, removing the first photoresist for a rework, after removing the first photoresist, coating a developer having an amine group on the metal line layer, after coating the developer, coating a second photoresist on the metal line layer, and performing a photo process by employing the second photoresist.
    Type: Application
    Filed: August 24, 2007
    Publication date: March 6, 2008
    Inventor: Jae-Hyun Kang
  • Patent number: 7335587
    Abstract: A method for forming a semiconductor device is disclosed wherein atomic layer deposition (ALD) precursor species and/or by-product absorbed by an ILD are outgassed and/or neutralized prior to subsequently patterning the semiconductor device, thereby improving the ability to accurately define subsequently formed interconnect structures in the ILD.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: February 26, 2008
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Kevin P. O'Brien, Sridhar Balakrishnan
  • Publication number: 20080042288
    Abstract: When a conductive layer is formed, a first liquid composition containing a conductive material is applied on an outer side of a pattern that is desired to be formed (corresponding to a contour or an edge portion of a pattern), and a first conductive layer (insulating layer) having a frame-shape is formed. A second liquid composition containing a conductive material is applied so as to fill a space inside the first conductive layer having a frame-shape, whereby a second conductive layer is formed. The first conductive layer and the second conductive layer are formed so as to be in contact with each other, and the first conductive layer is formed so as to surround the second conductive layer. Therefore, the first conductive layer and the second conductive layer can be used as one continuous conductive layer.
    Type: Application
    Filed: June 26, 2007
    Publication date: February 21, 2008
    Inventors: Shunpei Yamazaki, Hironobu Shoji, Ikuko Kawamata
  • Patent number: 7332420
    Abstract: A method for manufacturing a semiconductor device having a P-type MOSFET and an N-type MOSFET, the method comprising the steps of: forming a gate insulating film, a non-doped polysilicon film, a metal silicide film, a metal nitride film and a metal film on a semiconductor substrate; processing at least the metal film, the metal nitride film and the metal silicide film to pattern them into the shape of a gate such that the portion of the meal silicide film that forms part of a gate electrode of a P-type MOSFET and the portion of the meal silicide film that forms part of a gate electrode of an N-type MOSFET are separated from each other; introducing P-type and N-type impurities into the respective regions of the non-doped polysilicon film where the P-type and N-type MOSFETs are formed; performing thermal treatment to diffuse the impurities; and patterning the polysilicon film with the impurities introduced into the shape of the gate.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: February 19, 2008
    Assignee: Elpida Memory, Inc.
    Inventor: Yoshikazu Moriwaki
  • Patent number: 7332435
    Abstract: A method of forming a semiconductor device comprising: forming a gate dielectric layer over a channel region; forming a gate electrode on the gate dielectric layer; forming source/drain regions substantially aligned with respective edges of the gate electrode with the channel region therebetween; forming a thin metal layer on the source/drain regions; forming a metal alloy layer on the thin metal layer; and transforming the thin metal layer into a low resistance metal silicide.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: February 19, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsueh Shih, Shih-Wei Chou, Hung-Wen Su, Minghsing Tsai
  • Patent number: 7329582
    Abstract: Methods are provided for fabricating a semiconductor device having an impurity doped region in a silicon substrate. The method comprises forming a metal silicide layer electrically contacting the impurity doped region and depositing a conductive layer overlying and electrically contacting the metal silicide layer. A dielectric layer is deposited overlying the conductive layer and an opening is etched through the dielectric layer to expose a portion of the conductive layer. A conductive material is selectively deposited to fill the opening and to electrically contact the impurity doped region.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: February 12, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James Pan, Jonathan Byron Smith, Ming-Ren Lin
  • Patent number: 7323783
    Abstract: There is provided a technology for obtaining an electrode having a low contact resistance and less surface roughness. There is provided an electrode comprising a semiconductor film 101, and a first metal layer 102 and a second metal layer 103 sequentially stacked in this order on the semiconductor film 101, characterized in that the first metal film 102 is formed of Al, and the second metal film 103 is formed of at least one metal selected from the group consisting of Nb, W, Fe, Hf, Re, Ta and Zr.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: January 29, 2008
    Assignee: NEC Corporation
    Inventors: Tatsuo Nakayama, Hironobu Miyamoto, Yuji Ando, Takashi Inoue, Yasuhiro Okamoto, Masaaki Kuzuhara
  • Publication number: 20080012143
    Abstract: A method of fabricating a semiconductor device can include forming a first metal layer on a semiconductor substrate, and forming a second metal layer on the first metal layer. The second metal layer is ion-implanted with material having an anti-reflective function. The anti-reflective function is endowed to the metal layer using the ion implantation, and a separate anti-reflective layer is not necessary.
    Type: Application
    Filed: July 12, 2007
    Publication date: January 17, 2008
    Inventor: JIN HA PARK